Форум РадиоКот https://radiokot.ru/forum/ |
|
Параллельная запись и формирование строба https://radiokot.ru/forum/viewtopic.php?f=60&t=150213 |
Страница 1 из 1 |
Автор: | Dimson12 [ Пт ноя 24, 2017 00:16:20 ] |
Заголовок сообщения: | Параллельная запись и формирование строба |
Требуется построить схему записи числа в регистр в параллельном виде и выдаче строба готовности результата длительностью 1 такт. Подскажите пожалуйста каким образом можно в процессе загрузить число в регистр параллельно? А для формирования строба готовности нужно использовать задержку последнего разряда сигнала на 1 такт: signal data_valid : std_logic; -- сигнал длительностью много тактов signal data_valid_d : std_logic; signal strob : std_logic; process (clk) begin if rising_edge(clk) then data_valid_d <= data_valid;-- задержка сигнала на один такт end if; end process; strob <= data_valid and (not data_valid_d); |
Автор: | kolpakchi [ Пт ноя 24, 2017 09:57:10 ] |
Заголовок сообщения: | Re: Параллельная запись и формирование строба |
https://www.youtube.com/watch?v=s9wNDM2l6L0 |
Страница 1 из 1 | Часовой пояс: UTC + 3 часа |
Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group http://www.phpbb.com/ |