Например TDA7294

Форум РадиоКот • Просмотр темы - Мелкие вопросы по МК и ПЛИС.
Форум РадиоКот
Здесь можно немножко помяукать :)





Текущее время: Чт мар 28, 2024 11:45:29

Часовой пояс: UTC + 3 часа


ПРЯМО СЕЙЧАС:



Начать новую тему Ответить на тему  [ Сообщений: 12482 ]     ... , , , 582, , , ...  
Автор Сообщение
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Чт мар 09, 2017 13:08:56 
Друг Кота

Карма: 60
Рейтинг сообщений: 67
Зарегистрирован: Ср янв 02, 2013 08:37:35
Сообщений: 3342
Рейтинг сообщения: 1
NebelWefer писал(а):
а вот есть ли данные по чтению?

Столько не живут… :))


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Пт мар 10, 2017 12:44:57 
Модератор
Аватар пользователя

Карма: 153
Рейтинг сообщений: 2800
Зарегистрирован: Сб авг 14, 2010 15:05:51
Сообщений: 18030
Откуда: г. Озерск, Челябинская обл.
Рейтинг сообщения: 1
Медали: 1
Лучший человек Форума 2017 (1)
зачитать "до дыр" не получится...

_________________
Мудрость приходит вместе с импотенцией...
Когда на русском форуме переходят на Вы, в реальной жизни начинают бить морду.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Пт мар 10, 2017 12:55:16 
Друг Кота
Аватар пользователя

Карма: 140
Рейтинг сообщений: 4275
Зарегистрирован: Чт сен 01, 2011 12:53:27
Сообщений: 20127
Откуда: ТьмуТаракания. Почетный житель подмостовья
Рейтинг сообщения: 1
что записано в епром-не вырубать топором :)))

_________________
Шекспир сказал: Судить меня -дано лишь Богу, другим я укажу дорогу... https://natribu.org/
Я его полностью поддерживаю.
Программирую на Fuse AtmelAVR.


Вернуться наверх
 
PCBWay - всего $5 за 10 печатных плат, первый заказ для новых клиентов БЕСПЛАТЕН

Сборка печатных плат от $30 + БЕСПЛАТНАЯ доставка по всему миру + трафарет

Онлайн просмотровщик Gerber-файлов от PCBWay + Услуги 3D печати
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Вс мар 12, 2017 05:21:58 
Сверлит текстолит когтями
Аватар пользователя

Карма: 4
Рейтинг сообщений: 10
Зарегистрирован: Пт фев 16, 2007 14:18:20
Сообщений: 1132
Откуда: Новосибирск
Рейтинг сообщения: 0
Всем привет!
Есть камень STM32F103 на китайской отладочной плате под DIP40.
Надо пикнуть писчалкой на частоте примерно 1кГц.
Что бы сгенерить звук буду инвертировать состояние вывода PB1 на котором сидит (через транзистор) зуммер.
Все это делаю в прерывании.
Код:
extern "C"{
  void TIM4_IRQHandler(void)
  {   
    if (TIM_GetITStatus(TIM4, TIM_IT_Update) == SET)
    {
      TIM_ClearITPendingBit(TIM4, TIM_IT_Update);
      GPIOB->ODR^=GPIO_Pin_1;                       
    }
  }
}


Настройка таймера на 1 кГц вот
Код:
void tim4_config (void)
{
  RCC_ClocksTypeDef RCC_Clocks;
  RCC_GetClocksFreq(&RCC_Clocks);
 
  RCC_APB1PeriphClockCmd(RCC_APB1Periph_TIM4, ENABLE);

  TIM_TimeBaseInitTypeDef TIM_InitStructure;
 
  TIM_TimeBaseStructInit(&TIM_InitStructure);
  TIM_InitStructure.TIM_Prescaler = (RCC_Clocks.HCLK_Frequency/1000000 - 1); 
  TIM_InitStructure.TIM_Period = 1000;
  TIM_TimeBaseInit(TIM4, &TIM_InitStructure);
  //TIM_Cmd(TIM4, ENABLE);
 
  NVIC_EnableIRQ(TIM4_IRQn); 
  TIM_ITConfig(TIM4, TIM_IT_Update, ENABLE); 
}

Вопросы.
1. Почему начинает пищать когда TIM_Cmd(TIM4, ENABLE) не активная? Я так понимаю что таймер не должен считать, пока не врубим его, но он начинает работать после настройки прерываний. Звук чистый.
2. Если врубать таймер вызывая функцию TIM_Cmd(TIM4, ENABLE), то звук становится какой-то хрипящий, частота падает. Осциллографа нет под рукой. всё на слух (( Впечатление что прерываение обрабатывается по разным событиям. Почему так?
3. Отладчик (отладка через STLINK SWD) попадает в обработчик TIM4_IRQHandler только при первом проходе. Потом, почему-то, точка останова в обработчике прерывания игнорится. В обработчк от таймера 3 (юзаю еще один таймер, завел на тик в 1 сек.) попадает исправно и "фишка" с TIM_Cmd работает. Этот таймер мигает светодиодом раз в сек.


-------
Всё. Разобрался. Оказывается мне подсунули зуммер со встроенным генератором внутри. отсюда и путаница :evil:

_________________
Теория — это когда все известно, но ничего не работает. Практика — это когда все работает, но никто не знает почему. Мы же объединяем теорию и практику: ничего не работает… и никто не знает почему!
© Альберт Эйнштейн


Вернуться наверх
 
Сравнительное тестирование аккумуляторов EVE Energy и Samsung типоразмера 18650

Инженеры КОМПЭЛ провели сравнительное тестирование аккумуляторов EVE и Samsung популярного для бытовых и индустриальных применений типоразмера 18650. Для теста были выбраны аккумуляторы литий-никельмарганцевой системы: по два образца одного наименования каждого производителя – и протестированы на двух значениях тока разряда: 0,5 А и 2,5 А. Испытания проводились в нормальных условиях на электронной нагрузке EBD-USB от ZKEtech, а зарядка осуществлялась от лабораторного источника питания в режиме CC+CV в соответствии с рекомендациями в даташите на определенную модель.

Подробнее>>
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Пн мар 20, 2017 11:13:12 
Грызет канифоль
Аватар пользователя

Зарегистрирован: Вс дек 18, 2011 14:41:24
Сообщений: 273
Откуда: Ангарск
Рейтинг сообщения: 0
Всем здрасьте. Помогите найти даташит на микросхему AB1520CG1F15.1-83EM. Эта микросхема стоит в китайском плеере. Хочу поглядеть даташит на предмет наличия незадействованных в плеере функций. Вдруг, там есть, скажем, режим рандомного воспроизведения аудио или что нибудь еще.


Вернуться наверх
 
Новый аккумулятор EVE серии PLM для GSM-трекеров, работающих в жёстких условиях (до -40°С)

Компания EVE выпустила новый аккумулятор серии PLM, сочетающий в себе высокую безопасность, длительный срок службы, широкий температурный диапазон и высокую токоотдачу даже при отрицательной температуре. Эти аккумуляторы поддерживают заряд при температуре от -40/-20°С (сниженным значением тока), безопасны (не воспламеняются и не взрываются) при механическом повреждении (протыкание и сдавливание), устойчивы к вибрации. Они могут применяться как для автотранспорта (трекеры, маячки, сигнализация), так и для промышленных устройств мониторинга, IoT-устройств.

Подробнее>>
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Пн мар 20, 2017 18:07:46 
В даташите скорее всего нет - реализовано программно в прошивке.


Вернуться наверх
   
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Сб апр 01, 2017 09:57:55 
Родился

Зарегистрирован: Сб мар 04, 2017 20:15:21
Сообщений: 5
Рейтинг сообщения: 0
Помогите понять, где ошибка. Altera Max Plus 2 выдает "Process clocking is too complex". На иностранных сайтах на похожий вопрос был дан ответ - что-то с if-else конструкциями, но непонятно, как исправить.
Код:
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY shift_reg IS
       PORT
       (clk    : IN STD_LOGIC ;
        data   : IN STD_LOGIC ;
        reset  : IN STD_LOGIC ;
        q      : OUT STD_LOGIC
       );
END shift_reg;
 
ARCHITECTURE behav OF shift_reg IS
       SIGNAL rs: STD_LOGIC_VECTOR (3 downto 0) ;
SIGNAL rscopy: STD_LOGIC_VECTOR (3 downto 0);
BEGIN
process (clk, reset) begin
  if (reset = '0') then
    rs <= "0000";
             else
    if (clk'event and clk='1') then rscopy<=rs; end if;
    if (clk'event and clk='0') then   rs <= data & rscopy(3 downto 1); end if;
  end if;
end process;
q <= rs(0);
END behav;


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Сб апр 15, 2017 08:44:54 
Друг Кота
Аватар пользователя

Карма: 46
Рейтинг сообщений: 1368
Зарегистрирован: Пт авг 28, 2009 21:34:30
Сообщений: 7212
Откуда: 845-й км.
Рейтинг сообщения: 0
Медали: 1
Получил миской по аватаре (1)
Если еще актуально...

Может, махплюс не может распарсить два отдельных ивента. Попробуйте так
Код:
if (clk'event) then
  if (clk='0') then....
  else....
  end if
end if

А ваше "железо" имеет фичу dual edge? А то смоделировать, может и удастся, а вот синтезировать - может быть облом.

в ISE я делал двумя процессами:
Код:
    process (scl)
    begin
        if scl'event and scl='0' then
            shift_reg <= shift_reg (shift_size-2 downto 0) & sda;
        end if;
    end process;

    process (scl)
    begin
        if scl'event and scl='1' then
            if sda = '1' then
                latch_reg <= shift_reg;
            end if;
        end if;
    end process; 

_________________
А люди посмотрят и скажут: "Собаки летят. Вот и осень."


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Пн апр 17, 2017 12:27:29 
Встал на лапы
Аватар пользователя

Зарегистрирован: Пн ноя 08, 2010 14:07:14
Сообщений: 85
Откуда: Владимирская область
Рейтинг сообщения: 0
День добрый! Есть устройство на ATmega162 которое врем от времени зависает при подаче питания. Питается контроллер от 7805, тактируется от кварца, reset - подтянут, фьюзы - старт с нулевой задержкой. В приложении переходной процесс на ногах контроллера.


Вложения:
Задержка.jpg [90.36 KiB]
Скачиваний: 325

_________________
.....
Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Пн апр 17, 2017 19:43:38 
Друг Кота
Аватар пользователя

Карма: 81
Рейтинг сообщений: 1377
Зарегистрирован: Пн май 11, 2009 14:15:00
Сообщений: 3059
Откуда: СПб
Рейтинг сообщения: 0
Медали: 1
Мявтор 3-й степени (1)
Евгений33 писал(а):
фьюзы - старт с нулевой задержкой

Попробуйте увеличить задержку.

_________________
Этот пост оказался полезен? Не поленись, нажми Изображение слева!
:) :)) :)))
Куплю индикаторы ИТС-1А, ИТС-1Б, ИГВ1-8х5Л, ИГПС1-222/7, ИГПС1-111/7 и подобные.


Вернуться наверх
 
В сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Вт апр 18, 2017 07:46:13 
Друг Кота
Аватар пользователя

Карма: 93
Рейтинг сообщений: 1349
Зарегистрирован: Вт мар 16, 2010 22:02:27
Сообщений: 14018
Откуда: ДОНЕЦК
Рейтинг сообщения: 0
Собственно схема с низким (относительно параметров источника питания) энергопотреблением.
При повторном включении из-за "недоразряженности" электролитов и прочих паразитно-переходных процессов получаем неопределенность для цепей запуска МК.
Лечение применением схем BOD, WDT, внешним монитором питания и их комбинаций.
Да и задержку запуска при внешнем кварце лучше увеличивать, а не уменьшать.
(Заодно проверить параметры генератора в отношении размаха сигнала на выводах - может понадобится максимальный).
Ну и последнее (или первое) - некорректная программа устройства.
:roll:


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Пн апр 24, 2017 08:11:36 
Встал на лапы
Аватар пользователя

Зарегистрирован: Пн ноя 08, 2010 14:07:14
Сообщений: 85
Откуда: Владимирская область
Рейтинг сообщения: 0
Ввел задержку по старту 65 мс и настроил внутренний BOD на 4,3 В, вроде все нормально.

_________________
.....


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Вт май 02, 2017 20:21:19 
Первый раз сказал Мяу!

Зарегистрирован: Пн май 25, 2015 21:29:15
Сообщений: 20
Откуда: Владимир
Рейтинг сообщения: 0
Народ поможите, уже не знаю куда копать. Ситуация- ds1307 + avr 8515 . Тупо часы. Ds 1307 не запускается от кварца. От внешнего генератора 32768- на ура , а вот от кварца -никак. Всё по даташиту. менял 5 кварцев, 2 микросхемы, думал про питание- от батареек тоже никак. В протеусе всё работает


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Вт май 02, 2017 20:28:29 
Говорящий с текстолитом
Аватар пользователя

Карма: 17
Рейтинг сообщений: 160
Зарегистрирован: Пн авг 25, 2014 21:52:26
Сообщений: 1622
Откуда: Ленинград
Рейтинг сообщения: 0
Цитата:
The internal oscillator circuitry is designed for operation with a crystal having a specified load capacitance (CL) of 12.5pF.


https://datasheets.maximintegrated.com/en/ds/DS1307.pdf
Страница 6.
Не всякий резонатор сюда подойдет.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Вт май 02, 2017 20:33:17 
Первый раз сказал Мяу!

Зарегистрирован: Пн май 25, 2015 21:29:15
Сообщений: 20
Откуда: Владимир
Рейтинг сообщения: 0
Цитата:
The internal oscillator circuitry is designed for operation with a crystal having a specified load capacitance (CL) of 12.5pF.


https://datasheets.maximintegrated.com/en/ds/DS1307.pdf
Страница 6.
Не всякий резонатор сюда подойдет.


Как бы ещё узнать нужный....


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Ср май 03, 2017 17:23:18 
Друг Кота

Карма: 60
Рейтинг сообщений: 67
Зарегистрирован: Ср янв 02, 2013 08:37:35
Сообщений: 3342
Рейтинг сообщения: 0
нужный....

Эти ставил работает нормуль! http://chip-nn.ru/find.php?f=32.768+%CA%C3%F6%2C+KX-38T


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Ср май 03, 2017 19:29:52 
Первый раз сказал Мяу!

Зарегистрирован: Пн май 25, 2015 21:29:15
Сообщений: 20
Откуда: Владимир
Рейтинг сообщения: 0
Спасибо попробую


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Ср май 03, 2017 20:42:58 
Родился

Зарегистрирован: Пн апр 10, 2017 20:04:05
Сообщений: 8
Рейтинг сообщения: 0
Доброго времени суток уважаемые форумчане! Есть акустика 2.1 хотелось бы сделать для нее проводной пульт с цифровой регулировкой громкости и басов, данная акс уже имеет проводной пульт с двумя переменными резисторами (резисторы моно). Вот хочется их заменить на кнопки, да и с индикацией 8 светодиодов. Есть у меня тинька 2313 вот хотелось бы на ней это дело собрать. Подскажите как это лучше реализовать. Да к стати в программировании мк я новичок.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Ср май 03, 2017 21:10:34 
Друг Кота

Карма: 45
Рейтинг сообщений: -17
Зарегистрирован: Вт фев 21, 2012 13:51:55
Сообщений: 5114
Откуда: Начинающий
Рейтинг сообщения: 0
Решение " в лоб" : переменные сопротивления заменить на перемножающие ц.а.п. ,управляемые м.к.

Но ведь есть и готовые решения, хотя чуток дороже.

_________________
< виртуальная "кнопочка" >--( WWW ) <- Убедительная просьба интересующимся старыми компьютерами типа РК86 - не пишите в теме в барахолке, пишите Ваши вопросы в ( лс ) пожалуйста


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по МК и ПЛИС.
СообщениеДобавлено: Ср май 03, 2017 21:17:08 
Родился

Зарегистрирован: Пн апр 10, 2017 20:04:05
Сообщений: 8
Рейтинг сообщения: 0
Решение " в лоб" : переменные сопротивления заменить на перемножающие ц.а.п. ,управляемые м.к.

Но ведь есть и готовые решения, хотя чуток дороже.

Про мк я читал достаточно много, и то что переменные сопротивления можно заменить я знаю, только как это реализовать? Вот здесь мои знания расплываются. Так примерная картина есть но очень слабая. А именно какую и куда подавать команду?


Вернуться наверх
 
Показать сообщения за:  Сортировать по:  Вернуться наверх
Начать новую тему Ответить на тему  [ Сообщений: 12482 ]     ... , , , 582, , , ...  

Часовой пояс: UTC + 3 часа


Кто сейчас на форуме

Сейчас этот форум просматривают: нет зарегистрированных пользователей и гости: 17


Вы не можете начинать темы
Вы не можете отвечать на сообщения
Вы не можете редактировать свои сообщения
Вы не можете удалять свои сообщения
Вы не можете добавлять вложения

Найти:
Перейти:  


Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group
Русская поддержка phpBB
Extended by Karma MOD © 2007—2012 m157y
Extended by Topic Tags MOD © 2012 m157y