Например TDA7294

Форум РадиоКот • Просмотр темы - Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
Форум РадиоКот
Здесь можно немножко помяукать :)





Текущее время: Вт апр 16, 2024 16:08:44

Часовой пояс: UTC + 3 часа


ПРЯМО СЕЙЧАС:



Начать новую тему Ответить на тему  [ Сообщений: 123 ]    , , , , 5, ,  
Автор Сообщение
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пн сен 10, 2018 20:54:14 
Первый раз сказал Мяу!

Зарегистрирован: Пн янв 01, 2018 09:05:17
Сообщений: 33
Рейтинг сообщения: 0
Понял.Спасибо!


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Сб мар 23, 2019 22:43:05 
Открыл глаза
Аватар пользователя

Карма: 5
Рейтинг сообщений: 20
Зарегистрирован: Пн апр 20, 2015 14:00:32
Сообщений: 62
Рейтинг сообщения: 0
Муръ! Подскажите, на каком семействе плис можно сделать простой 32-битный сдвиговый регистр с частотой семплирования 400 мегагерц (!) Ну и слегка потом, творчески обработать результат :)

_________________
NXP i.MX7D на чистом ассемблере


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Вс мар 24, 2019 11:47:41 
Мучитель микросхем
Аватар пользователя

Зарегистрирован: Вт июн 02, 2009 22:38:40
Сообщений: 477
Откуда: Город-герой Москва
Рейтинг сообщения: 0
Ну и слегка потом, творчески обработать результат :)

Не знаю, что Вы под этим подразумеваете... Но вопрос сперва стоит ставить о производителе и цене вопроса. Можно например слёту топовый Kintex порекомендовать, но думою, что цена в тысяч USD Вас не устроит. Что касается по существу вопроса, то из дешовых микросхем можно cyclone 4 порекомендовать, или spartan6 у Xilinx (в последнем кстати есть возможность сконфигурировать сдвиговый регистр на базе лутов SRL16 и SRL32 соответственно). Интересующие Вас скоростные характеристики приведены в разделе dc and switching characteristics даташитов. Но самое надёжное, написать проект в соответстующей IDE и проверить его на отсутствие временных ограничений. После этого сможете сориентироваться конкретней по поводу кристалла. Но работа на 400 МГц эта для названных мною семейств, что называется работа на пределе! Ни о каком запасе по скорости, речи в данном случае не идёт. Конечно есть аппаратные блоки способные работать на гораздо больших скоростях, но пользовательская логика такое уже не потянет (в более менее сложном проекте). Так что данные придётся распарралеливать или искать другие пути обхода...


Вернуться наверх
 
PCBWay - всего $5 за 10 печатных плат, первый заказ для новых клиентов БЕСПЛАТЕН

Сборка печатных плат от $30 + БЕСПЛАТНАЯ доставка по всему миру + трафарет

Онлайн просмотровщик Gerber-файлов от PCBWay + Услуги 3D печати
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Чт авг 22, 2019 18:46:04 
Поставщик валерьянки для Кота
Аватар пользователя

Карма: 20
Рейтинг сообщений: 648
Зарегистрирован: Пт май 31, 2013 17:14:38
Сообщений: 2081
Откуда: Украина, Винница
Рейтинг сообщения: 0
Здравствуйте. Планирую одну штуковину собрать на плисине. Нужен тактовый генератор. Но доступная микруха без pll, а частоту хотелось бы 200-400 МГц. Так вот - могу ли я в самом плис собрать что-то автоколебательное на логике, например на цепочке инверторов? Мне квартус позволит такое? стабильность и точность не важна.


Вернуться наверх
 
Организация питания на основе надежных литиевых аккумуляторов EVE и микросхем азиатского производства

Качественное и безопасное устройство, работающее от аккумулятора, должно учитывать его физические и химические свойства, профили заряда и разряда, их изменение во времени и под влиянием различных условий, таких как температура и ток нагрузки. Мы расскажем о литий-ионных аккумуляторных батареях EVE и нескольких решениях от различных китайских компаний, рекомендуемых для разработок приложений с использованием этих АКБ. Представленные в статье китайские аналоги помогут заменить продукцию западных брендов с оптимизацией цены без потери качества.

Подробнее>>
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пт авг 23, 2019 08:41:51 
Мучитель микросхем
Аватар пользователя

Зарегистрирован: Вт июн 02, 2009 22:38:40
Сообщений: 477
Откуда: Город-герой Москва
Рейтинг сообщения: 2
Лично я такого не делал, хотя один коллега мне про такое рассказывал и говорил, что как то даже работало (ну естественно о точности и стабильности речи не идёт). Другой вопрос, что у Вас там за плис в которой блоков pll нет? CPLD какая то старая? Вы уверены что в ней логика такую частоту потянет? Или вам чисто поприкалываться?


Вернуться наверх
 
Новый аккумулятор EVE серии PLM для GSM-трекеров, работающих в жёстких условиях (до -40°С)

Компания EVE выпустила новый аккумулятор серии PLM, сочетающий в себе высокую безопасность, длительный срок службы, широкий температурный диапазон и высокую токоотдачу даже при отрицательной температуре. Эти аккумуляторы поддерживают заряд при температуре от -40/-20°С (сниженным значением тока), безопасны (не воспламеняются и не взрываются) при механическом повреждении (протыкание и сдавливание), устойчивы к вибрации. Они могут применяться как для автотранспорта (трекеры, маячки, сигнализация), так и для промышленных устройств мониторинга, IoT-устройств.

Подробнее>>
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Вс авг 25, 2019 12:21:00 
Поставщик валерьянки для Кота
Аватар пользователя

Карма: 20
Рейтинг сообщений: 648
Зарегистрирован: Пт май 31, 2013 17:14:38
Сообщений: 2081
Откуда: Украина, Винница
Рейтинг сообщения: 0
ну, я выбрал MAX II. Десятой у нас не нашел, можно на каком-нибудь mouser купить - но доставка десять баксов, как-то не хочется. Это личная разработка, с бюджетами не разгонишься.
Пока что попробовал собрать подобие генератора - любое мое творение в vhdl квартус сводит к одному зацикленному инвертору. Я думал в цепочку вставлять элементы-пустышки для кое-какой регулировки частоты, но оптимизация это все съедает. Графический способ показал себя получше - привязка промежуточных звеньев к портам вывода не дает оптимизации срезать пустышки. Но хотелось бы освоить именно VHDL.
В общем пока что играюсь с квартусом и вникаю в язык. На счет xilinx в магазинах вообще туго, в основном альтера.

Добавлено after 2 minutes 11 seconds:
P.S. микрухи пока только заказал, будут ехать пару недель. Да и платы под них еще не разводил, и бластер купить надо. Это я к тому, что отчитаться о тестах в шелезяке пока не могу.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Вс авг 25, 2019 12:29:49 
Друг Кота
Аватар пользователя

Карма: 46
Рейтинг сообщений: 1368
Зарегистрирован: Пт авг 28, 2009 21:34:30
Сообщений: 7214
Откуда: 845-й км.
Рейтинг сообщения: 0
Медали: 1
Получил миской по аватаре (1)
Не знаю, это фишка VHDL или конкретно зайлинксовского компилятора, но есть всякие attributes которые могут потребовать, чтобы кой-что не оптимизировал или обрабатывал иначе. Вот у меня в одном проекте было:

Код:
-- Сигнал "начального старта"Так как инициализируется лог.0а при выполнении присваивается
-- только лог.1необходим атрибут KEEPчтобы оптимизатор не сократил этот сигнал.
signal start_stop  std_logic := '0';
attribute KEEP string;
attribute KEEP of start_stop signal is "TRUE";

-- 
Чтобы объявленные переходы счетчика не кодировались бы при помощи конечного автомата,
-- 
вставляем атрибут запрещающий синтезатору это делать для названных сигналов.
attribute FSM_EXTRACT string;
attribute FSM_EXTRACT of minutessignal is "NO";
attribute FSM_EXTRACT of hour   signal is "NO";
attribute FSM_EXTRACT of tminutes:signal is "NO"

Может и для вашего случая есть какие атрибуты - надо почитать документацию по квартусу (я пользуюсь ISE от Xilinx).

_________________
А люди посмотрят и скажут: "Собаки летят. Вот и осень."


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Вс авг 25, 2019 12:49:43 
Поставщик валерьянки для Кота
Аватар пользователя

Карма: 20
Рейтинг сообщений: 648
Зарегистрирован: Пт май 31, 2013 17:14:38
Сообщений: 2081
Откуда: Украина, Винница
Рейтинг сообщения: 0
ну я тоже думаю что подобная возможность имеется. Если не через прямые директивы, то в той пачке настроек проекта. Пока что отложил это в сторону. Ожидал увидеть простой рубильник - нет, низкая, высокая оптимизация. Как в привычных сишных компиляторах, но не тут то было.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Ср окт 09, 2019 21:55:16 
Поставщик валерьянки для Кота
Аватар пользователя

Карма: 20
Рейтинг сообщений: 648
Зарегистрирован: Пт май 31, 2013 17:14:38
Сообщений: 2081
Откуда: Украина, Винница
Рейтинг сообщения: 0
в общем сходу стартануть автоколебательный контур во втором максе не получилось. Но тут подвернулись оочень дешовые 4е циклоны, заказал сразу 4 штуки, посмотрим что да как. Что хочу спросить - когда конфигурирую встроенный плл, оно позволяет забить частоту целых 1.3 ГГц. Это реально хоть что-то затактировать на такой частоте? Оно заведется? Мне только пару двоичных счетчиков, не думаю что перегреется.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Чт окт 10, 2019 08:44:16 
Мучитель микросхем
Аватар пользователя

Зарегистрирован: Вт июн 02, 2009 22:38:40
Сообщений: 477
Откуда: Город-герой Москва
Рейтинг сообщения: 3
Даже если pll и позволит сгенерить стабильный сигнал с такой частотой, то логика (во всяком случае пользовательская) с такими скоростями работать не сможет. Для 3-его циклона, помоиму максимальная частота защёлкивания триггера была в районе 400 МГц. Не думою, что в 4-ом эта цифра сильно изменилась. Такие высокие частоты необходимы для тактирования высокоскоростных приемопередатчиков.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Чт окт 10, 2019 10:12:43 
Поставщик валерьянки для Кота
Аватар пользователя

Карма: 20
Рейтинг сообщений: 648
Зарегистрирован: Пт май 31, 2013 17:14:38
Сообщений: 2081
Откуда: Украина, Винница
Рейтинг сообщения: 0
Понял. Ну, в каталоге где заказывал указано частоту 472.5МГц. В принципе, меня это устраивает. Сделаю новую плату и проведу эксперименты.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Чт окт 10, 2019 10:27:35 
Мучитель микросхем
Аватар пользователя

Зарегистрирован: Вт июн 02, 2009 22:38:40
Сообщений: 477
Откуда: Город-герой Москва
Рейтинг сообщения: 0
472.5МГц - это максимальная частота, если угодно, идеализированная... Для маломальски рабочего проекта, делите её в 1,5-1,8 раза... получите рабочую. Для более-менее серьёзного проекта, делите на 2,2-2,5.
P.S. Наверняка 472.5МГц это для топового спидгрейда, а если Вы микросхемы по дешевки срубили, скорей всего они самые тормознутые из всего скоростного ряда.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Чт окт 10, 2019 11:13:57 
Поставщик валерьянки для Кота
Аватар пользователя

Карма: 20
Рейтинг сообщений: 648
Зарегистрирован: Пт май 31, 2013 17:14:38
Сообщений: 2081
Откуда: Украина, Винница
Рейтинг сообщения: 0
Т-е они на производстве тестируются и как-то помечаются? Я видел этот спид грейд в настройках плл вчера вечером, теперь становится понятно что это такое. Ну, если не подойдут эти дешовые, закажу подороже, не катастрофа. Мне нужно в принципе найти техническое решение и на выходе получить устройство. Дорогой вариант в бюджет вписывается.

Добавлено after 4 minutes 8 seconds:
http://www.kosmodrom.com.ua/el.php?name=EP4CE6E22C8N
7 баксов за штуку. Скоро приедут, посмотрим.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Чт ноя 14, 2019 21:36:19 
Поставщик валерьянки для Кота
Аватар пользователя

Карма: 20
Рейтинг сообщений: 648
Зарегистрирован: Пт май 31, 2013 17:14:38
Сообщений: 2081
Откуда: Украина, Винница
Рейтинг сообщения: 0
Это снова я. Наконец доделал плату, сел за писание программ. Раньше я набросал проект, который после компилирования в нетлист вивере выглядел корректно. Планировал последовательно переносить код в пустой проект. И на первом же этапе впал в ступор. В архитектуре я написал следующее:
enable <= selectIn(0) and selectIn(1) and selectIn(2);
Что скомпилилось в обычный элемент ИЛИ с тремя входами. Такая простая программа в максе (кстати нашел где купить макс10 ) мне нужна для отладки программы микроконтроллера.
Но зашив программу я обнаружил что программа в плис как будто исполняется один раз и больше не реагирует на изменение входов. Поискав в интернете я наткнулся на какие-то дельта циклы... Это что получается только последовательно исполнение в процессе с привязкой к тактовому сигналу? Я рассчитывал на асинхронную логику! Объясните, если не сложно, или ссылку какую дайте, может быстрее будет.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Чт ноя 14, 2019 22:00:54 
Мучитель микросхем
Аватар пользователя

Зарегистрирован: Вт июн 02, 2009 22:38:40
Сообщений: 477
Откуда: Город-герой Москва
Рейтинг сообщения: 0
Это что получается только последовательно исполнение в процессе с привязкой к тактовому сигналу? Я рассчитывал на асинхронную логику

Ничего не получается. Асинхронная логика в плис живёт, хотя конечно с тактами лучше. Попробуйте для начала светодиодиком помогать... Убедитесь, что дело не в железке. Ну а то, что у Вас вместо и, или ссинтезилась, так это Вы в коде могли где-то нарукожопить. Одним словом, сначала проверьте железку, а уж потом код!


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Чт ноя 14, 2019 22:11:57 
Поставщик валерьянки для Кота
Аватар пользователя

Карма: 20
Рейтинг сообщений: 648
Зарегистрирован: Пт май 31, 2013 17:14:38
Сообщений: 2081
Откуда: Украина, Винница
Рейтинг сообщения: 0
я написал или... уже голова не варит. И, конечно же. Вот так оно выглядит

Добавлено after 2 minutes 8 seconds:
ну так чем это сложнее мигания светодиодиком? Сигналы на входные ножки подаются, на выходе ничего не меняется.


Вложения:
sch.png [13.93 KiB]
Скачиваний: 406
Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Чт ноя 14, 2019 22:33:39 
Мучитель микросхем
Аватар пользователя

Зарегистрирован: Вт июн 02, 2009 22:38:40
Сообщений: 477
Откуда: Город-герой Москва
Рейтинг сообщения: 0
Ну сделайте так:
Out <= In;
И больше ничего. Убедитесь, что железяка живая...
P.S. проверьте ноги правильно назначены?


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пт ноя 15, 2019 09:05:15 
Поставщик валерьянки для Кота
Аватар пользователя

Карма: 20
Рейтинг сообщений: 648
Зарегистрирован: Пт май 31, 2013 17:14:38
Сообщений: 2081
Откуда: Украина, Винница
Рейтинг сообщения: 0
Уже привязывал на одну ножку, эффекта нет. Привязку ног сегодня проверю. Выход должен быть тот - если вывожу просто константу, то работает корректно. Может быть еще косяк с настройкой уровней? у меня логика относительно 3,3В, я на все ножки включил режим CMOS3.3V.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пт ноя 15, 2019 10:18:11 
Мучитель микросхем
Аватар пользователя

Зарегистрирован: Вт июн 02, 2009 22:38:40
Сообщений: 477
Откуда: Город-герой Москва
Рейтинг сообщения: 3
если вывожу просто константу, то работает корректно.

Что значит константу? Подайте с контроллера меандр на ногу In и проверте, что на Out будет тот же меандр. Или моргайте светодиодом используя тактовый сигнал.

я на все ножки включил режим CMOS3.3V.

Режим тут не причём, если конечно, Вы банки правильно запитали...


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пт ноя 15, 2019 19:44:56 
Поставщик валерьянки для Кота
Аватар пользователя

Карма: 20
Рейтинг сообщений: 648
Зарегистрирован: Пт май 31, 2013 17:14:38
Сообщений: 2081
Откуда: Украина, Винница
Рейтинг сообщения: 0
так и делаю - подаю на вход меанрд периодом 2с и никакой реакции.
Что значит правильно банки запитал? Ну, на все банки подал напряжение 3,3V, вроде никого не пропустил.
(подтер пост, разбираюсь)

Добавлено after 29 minutes 17 seconds:
нашел непропаяную ножку вццио5, грею паяльник :)))

Добавлено after 1 minute 24 seconds:
работает :beer:


Вложения:
fpga.png [45.99 KiB]
Скачиваний: 400
Вернуться наверх
 
Показать сообщения за:  Сортировать по:  Вернуться наверх
Начать новую тему Ответить на тему  [ Сообщений: 123 ]    , , , , 5, ,  

Часовой пояс: UTC + 3 часа


Кто сейчас на форуме

Сейчас этот форум просматривают: нет зарегистрированных пользователей и гости: 8


Вы не можете начинать темы
Вы не можете отвечать на сообщения
Вы не можете редактировать свои сообщения
Вы не можете удалять свои сообщения
Вы не можете добавлять вложения

Найти:
Перейти:  


Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group
Русская поддержка phpBB
Extended by Karma MOD © 2007—2012 m157y
Extended by Topic Tags MOD © 2012 m157y