Например TDA7294

Форум РадиоКот • Просмотр темы - Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
Форум РадиоКот
Здесь можно немножко помяукать :)





Текущее время: Ср апр 24, 2024 22:47:48

Часовой пояс: UTC + 3 часа


ПРЯМО СЕЙЧАС:



Начать новую тему Ответить на тему  [ Сообщений: 123 ]     ... , , , 6,  
Автор Сообщение
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пт ноя 15, 2019 20:28:31 
Это не хвост, это антенна

Карма: -14
Рейтинг сообщений: -151
Зарегистрирован: Ср янв 04, 2012 01:33:24
Сообщений: 1430
Рейтинг сообщения: 0
Во чё не стреляла - не заряжена была))


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пн ноя 25, 2019 12:20:08 
Поставщик валерьянки для Кота
Аватар пользователя

Карма: 20
Рейтинг сообщений: 648
Зарегистрирован: Пт май 31, 2013 17:14:38
Сообщений: 2081
Откуда: Украина, Винница
Рейтинг сообщения: 0
вот такой вопрос возник - как у макс10 с толерантностью к +-5В по входам? возможно буду операционник ставить с питанием +-5В, вот думаю - надо еще промежуточный транзистор лепить или плиска выдержит...


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пн ноя 25, 2019 12:25:15 
Мучитель микросхем
Аватар пользователя

Зарегистрирован: Вт июн 02, 2009 22:38:40
Сообщений: 477
Откуда: Город-герой Москва
Рейтинг сообщения: 3
Раздел DC and Switching characteristics хэндбука в помощь.


Вернуться наверх
 
PCBWay - всего $5 за 10 печатных плат, первый заказ для новых клиентов БЕСПЛАТЕН

Сборка печатных плат от $30 + БЕСПЛАТНАЯ доставка по всему миру + трафарет

Онлайн просмотровщик Gerber-файлов от PCBWay + Услуги 3D печати
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пн ноя 25, 2019 13:51:36 
Поставщик валерьянки для Кота
Аватар пользователя

Карма: 20
Рейтинг сообщений: 648
Зарегистрирован: Пт май 31, 2013 17:14:38
Сообщений: 2081
Откуда: Украина, Винница
Рейтинг сообщения: 0
Понял. Вечером почитаю.


Вернуться наверх
 
Выбираем схему BMS для заряда литий-железофосфатных (LiFePO4) аккумуляторов

Обязательным условием долгой и стабильной работы Li-FePO4-аккумуляторов, в том числе и производства EVE Energy, является применение специализированных BMS-микросхем. Литий-железофосфатные АКБ отличаются такими характеристиками, как высокая многократность циклов заряда-разряда, безопасность, возможность быстрой зарядки, устойчивость к буферному режиму работы и приемлемая стоимость. Но для этих АКБ очень важен контроль процесса заряда и разряда для избегания воздействия внешнего зарядного напряжения после достижения 100% заряда. Инженеры КОМПЭЛ подготовили список таких решений от разных производителей.

Подробнее>>
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Ср дек 11, 2019 15:24:37 
Мучитель микросхем

Карма: 2
Рейтинг сообщений: 10
Зарегистрирован: Ср окт 19, 2011 08:48:27
Сообщений: 443
Откуда: Мать городов русских
Рейтинг сообщения: 0
Народ, хочу начать осваивать ПЛИС, нашел 2 платки - Altera https://arduino.ua/prod2903-otladochnay ... -iv-ep4ce6 и Xilinx https://arduino.ua/prod2902-plata-razra ... -6-xc6slx9. Подскажите, кто шарит, какую плату лучше взять или какая проще для освоения, или лучше приобрести что другое?

_________________
Хорошему коту и в декабре - март :)


Последний раз редактировалось Frogfot Ср дек 11, 2019 16:11:21, всего редактировалось 1 раз.

Вернуться наверх
 
Новый аккумулятор EVE серии PLM для GSM-трекеров, работающих в жёстких условиях (до -40°С)

Компания EVE выпустила новый аккумулятор серии PLM, сочетающий в себе высокую безопасность, длительный срок службы, широкий температурный диапазон и высокую токоотдачу даже при отрицательной температуре. Эти аккумуляторы поддерживают заряд при температуре от -40/-20°С (сниженным значением тока), безопасны (не воспламеняются и не взрываются) при механическом повреждении (протыкание и сдавливание), устойчивы к вибрации. Они могут применяться как для автотранспорта (трекеры, маячки, сигнализация), так и для промышленных устройств мониторинга, IoT-устройств.

Подробнее>>
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Ср дек 11, 2019 15:49:36 
Мучитель микросхем
Аватар пользователя

Зарегистрирован: Вт июн 02, 2009 22:38:40
Сообщений: 477
Откуда: Город-герой Москва
Рейтинг сообщения: 0
Да Вы, батенька, провокатор!!! Ща холивар тут между ксайлинксовцами и альтеровцами разведёте)))) А если по делу, то лично я бы взял ксайлинх. В своё время часть ip ядер у альтеры были платные (какой нибудь фир например), а у ксайлинкса нет. Впрочем для начала Вас это смущать не должно. Что касается софта, то у альтеры он конечно намного юзерфрендли... хотя ксайлинсовская вивада вроде то же норм!!! По большому счёту разница ведь только в архитектурах микросхем и терминах, так что освоив одно - освоите и другое, но объективно говоря, порог вхождения для Альтеры всё же ниже (покрайней мере был раньше). Уровень интеграции у обоих производителей зашкаливает, но опять же на первых парах Вам это не принципиально.
P.S. Не знаю какое сейчас отношение рубля к гривне, но сдаётся мне, что лучше бы Вам эти платы на алиэкспрессе глянуть... Тем более вроде они с него как раз...


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Ср дек 11, 2019 16:34:38 
Мучитель микросхем

Карма: 2
Рейтинг сообщений: 10
Зарегистрирован: Ср окт 19, 2011 08:48:27
Сообщений: 443
Откуда: Мать городов русских
Рейтинг сообщения: 0
Да Вы, батенька, провокатор!!! Ща холивар тут между ксайлинксовцами и альтеровцами разведёте)))).

Даже не подумал, что серъёзные люди будут страдать фигнёй. Хотя, с другой стороны, если не флейм, что круче, а аргументирование сравнение - типа у Альтер что-то сделано так, а у Ксилинксов по другому, то я только "за".

А если по делу, то лично я бы взял ксайлинх. В своё время часть ip ядер у альтеры были платные (какой нибудь фир например), а у ксайлинкса нет.

Спасибо, даже ещё не вникал в такие дебри.

Что касается софта, то у альтеры он конечно намного юзерфрендли... хотя ксайлинсовская вивада вроде то же норм!!! По большому счёту разница ведь только в архитектурах микросхем и терминах, так что освоив одно - освоите и другое, но объективно говоря, порог вхождения для Альтеры всё же ниже (покрайней мере был раньше). Уровень интеграции у обоих производителей зашкаливает, но опять же на первых парах Вам это не принципиально.

Тоже читал, что Altera будет проще начать.

P.S. Не знаю какое сейчас отношение рубля к гривне, но сдаётся мне, что лучше бы Вам эти платы на алиэкспрессе глянуть... Тем более вроде они с него как раз...

Сейчас брать с Али - почта перегружена, посылка будет идти месяц или больше, а так поехал - купил. Посмотрю, по чём эти платки на Али. Конечно, будет дешевле - по курсу здесь Altera ~ 50 у.е., Xilinx ~ 75 у.е. Кроме того, в этом шопе дают пол года гарантии, в случае чего не надо связываться с продавцом, менять плату, ждать.

Добавлено after 6 minutes 28 seconds:
2 Грендайзер: А по функционалу как платки - вроде на Xilinx побольше ячеек, и обвязки больше?

_________________
Хорошему коту и в декабре - март :)


Последний раз редактировалось Frogfot Ср дек 11, 2019 19:07:08, всего редактировалось 1 раз.

Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Ср дек 11, 2019 18:10:00 
Мучитель микросхем
Аватар пользователя

Зарегистрирован: Вт июн 02, 2009 22:38:40
Сообщений: 477
Откуда: Город-герой Москва
Рейтинг сообщения: 0
2 Грендайзер: А по функционалу как платки - вроде на Xilinx побольше ячеек, и обвязки больше?

Отделим мух от котлет. Что касается ячеек - см. выше, где я писал про разницу архитектур и терминологии. У алтеры основной конфигурируемой единицей (во всяком случае до интеловского периода) был Логический Элемент. В него входил 4-х входовой лут (количество входов зависело от семейства), триггер и... ещё там чё то... У ксайлинкса конфигурируемой единицей считается слайс, в который входи, обычно 2 лута,2 триггера и ещё всякая разная хрень. Но при этом, количество логических ячеек, каждый производитель считает по своему. Так что в этом плане, сравнивать по количеству лог. вентелей (лог. ячеек) - вещь весьма условная. Вообщем 4-ый сыклон это ведь, как принято говорить - симметричный ответ на ксайлинсовский 6-ой спартан. Так что микрухе вообщем равноправны, за исключением - dsp блоков. Их у ссыклона нет! Но как таковые dsp блоки, Вам понадобятся если будите обработку делать, да и то, их преимуществами можно воспользоваться лишь после того, как наступит определённый уровень понимания плис вцелом... а это процесс не быстрый. А вообще... выберете микросхему у которой больше блоков блочной памяти (пардон за тавтологию) и умножителей. Эти данные приведены в даташитах.
Что касается функционала самих плат - он примерно одинаков... так что сами решайте, но я бы взял ту у которой памяти больше и больше выведено ног, что б можно было подключить побольше периферии.
Сейчас брать с Али - почта перегружена, посылка будет идти месяц или больше, а так поехал - купил.

А Вы их, пардоньте, чем программировать собрались? Хвостом что ли? :)) Вам программатор нужен, на али есть дешёвые подделки, а оригинал это дорогое удовольствие.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Ср дек 11, 2019 19:13:06 
Мучитель микросхем

Карма: 2
Рейтинг сообщений: 10
Зарегистрирован: Ср окт 19, 2011 08:48:27
Сообщений: 443
Откуда: Мать городов русских
Рейтинг сообщения: 0
Спасибо за разъяснение Грендайзер.

Еще вопрос по этим плисам - я понял, что у Альтеры тактовая 50 МГц, а у Ксилинкса есть внутренний ПЛЛ - т.е. можно тактировать 200 или больше МГц?

А Вы их, пардоньте, чем программировать собрались? Хвостом что ли? :)) Вам программатор нужен, на али есть дешёвые подделки, а оригинал это дорогое удовольствие.

Здесь в комплекте с Альтеровской платой идёт Байт Бластер (не оригинал, конечно - клон). Для Ксилинкса придётся самому паять - аж 2 микросхемы 74HC125.

_________________
Хорошему коту и в декабре - март :)


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Чт дек 12, 2019 10:08:31 
Мучитель микросхем
Аватар пользователя

Зарегистрирован: Вт июн 02, 2009 22:38:40
Сообщений: 477
Откуда: Город-герой Москва
Рейтинг сообщения: 0
Еще вопрос по этим плисам - я понял, что у Альтеры тактовая 50 МГц, а у Ксилинкса есть внутренний ПЛЛ

Сомневаюсь, что в 4-ом цыклоне нет блоков ФАПЧ (PLL). Более того, подозреваю, что их там несколько, расположены они по углам кристалла и имеют по несколько выводов. Уточните это в документации.

т.е. можно тактировать 200 или больше МГц?

Да хоть 1ГГц (шутка) :)) Любопытно, люди когда впервые знакомятся с ПЛИС и узнают, что там есть ФАПЧ, сразу начинают мечтать о проектах на скоростях близких к космическим :)) Ну эт я так... просто любопытное наблюдение. 200 МГц для 4-ого цыклона (как и для 6-ого спартана) - частота, в принципе, подъёмная, но конечно не обойтись без слёз, соплей и разочаровании в собственных силах :)) 8) А вообще здесь я этой темы касался. Посмотрите повнимательней.

Для Ксилинкса придётся самому паять - аж 2 микросхемы 74HC125.

Ну это я комментировать не стану... Вам виднее...


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Чт дек 12, 2019 10:36:50 
Мучитель микросхем

Карма: 2
Рейтинг сообщений: 10
Зарегистрирован: Ср окт 19, 2011 08:48:27
Сообщений: 443
Откуда: Мать городов русских
Рейтинг сообщения: 0
Сомневаюсь, что в 4-ом цыклоне нет блоков ФАПЧ (PLL). Более того, подозреваю, что их там несколько, расположены они по углам кристалла и имеют по несколько выводов. Уточните это в документации.

Спасибо, буду курить даташит, просто поначалу всё не понятно.

_________________
Хорошему коту и в декабре - март :)


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Вс янв 05, 2020 20:58:00 
Открыл глаза

Зарегистрирован: Пн янв 21, 2019 17:38:29
Сообщений: 74
Рейтинг сообщения: 0
Я н и разу не имел дел с ПЛИС. Решил поковырять. Заказал MAX II чип EPM240T100I5. Начал набрасывать платку тестовую и вот тут наткнулся на то что в ПЛИС вроде как есть встроенный тактовый генератор и можно подключать внешний.
Только я не смог найти как включить этот встроенный. Скачал 13 версию Quartus II Web Edition. Везде пишут надо клацнуть в правом окне IP Catalog. Нет у меня справа окон... Нагуглил что тогда в меню Tools оно. Нет у меня там такого. Ни где не нашел где это...
Нашел только Qsys в котором хоть что то о Clock есть. Но я так понял там я просто указываю какая частота у внешнего генератора.

Возникло несколько вопросов.

1) Как запустить от внутреннего?
2) Внешний нужен именно активный генератор? Просто кварцевый резонатор не подойдет?
3) Судя по примеру внешний кварц выглядет просто как какой то импульс не важно какой частоты, он нужен просто чтобы можно было делать через определенное количество сигналов, например чрез промежуток времени. То есть по факту он не нужен вообще может быть? Допустим я рисую картинку, 1 элемент AND2, в нем подключаю вывод 1 и 2 на вход, а вывод 3 на выход. Я нажимаю на кнопки на выводе 1 и 2 и тогда на выводе 3 я получаю VCC? То есть по сути кварц не нужен?


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пн янв 06, 2020 15:26:37 
Поставщик валерьянки для Кота
Аватар пользователя

Карма: 20
Рейтинг сообщений: 648
Зарегистрирован: Пт май 31, 2013 17:14:38
Сообщений: 2081
Откуда: Украина, Винница
Рейтинг сообщения: 1
1) Суть в том, чтобы втянуть в проект блок из библиотеки, который запустит генератор. В IP Catalog имеется мастер для конфигурации и импорта этого блока. Я использую Quartus Prime 18, поэтому больше подсказать не смогу.
2) Да, именно генератор. Если у Вас проблема купить генератор, его можно собрать из резонатора и подходящей микросхемы логики. В качестве эксперимента можно попробовать задействовать сам ПЛИС :roll:
3) Да, сам ПЛИС работает без кварца, это не МК который нужно тактировать. Здесь тактовый сигнал это просто сигнал - хотите подключайте, хотите нет. Если Вам нужна просто реакция на кнопки - можно обойтись без тактирования.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пн янв 06, 2020 22:05:38 
Открыл глаза

Зарегистрирован: Пн янв 21, 2019 17:38:29
Сообщений: 74
Рейтинг сообщения: 0
Спасибо! Я уже все перечитал. Нет у меня такого. Во вкладе проект есть upgrade IP components но там пусто...

Какая последняя версия бесплатная? Вижу есть Quartus II Web Edition 19. Но если я выбираю по модели Max II то пишет что максимум 15 версия. В 19 нет поддержки Max II?

Добавлено after 23 minutes 3 seconds:
Может для IP Catalog нужно что то доставить?

Добавлено after 16 minutes 20 seconds:
Может быть нет этого меню если я не зарегистрирован?


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Вт янв 07, 2020 11:57:38 
Открыл глаза

Зарегистрирован: Пн янв 21, 2019 17:38:29
Сообщений: 74
Рейтинг сообщения: 0
Вот на моей EPM240 есть 2 банка. Я так понял выводы микросхем относятся к конкретному банку.
Допустим Pin_1 это банк 1, а Pin_70 это банк 2. Я случайно взял пины, просто для примера, может я случайна попал на питание или на один банк, допустим это два разных банка и это просто пины I/O.
Так вот, если я нарисую AND2 и на одну ногу поставлю 1 пин а на вторую 70, это будет работать? Разные банки могут же быть запитаны разным питанием, если я запитаю банк 1 от 1.5В а банк 2 от 3.3В лог уровни же будут разные.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Вт янв 07, 2020 19:00:09 
Друг Кота
Аватар пользователя

Карма: 9
Рейтинг сообщений: 96
Зарегистрирован: Пн июл 13, 2009 14:37:39
Сообщений: 3961
Откуда: Московская область, наукоград.....
Рейтинг сообщения: 1
В новых версиях САПР (квартус в частности) не поддерживаются старые семейства. Так что качайте более старые версии и работайте в них.
Назначить питающий вывод в качестве сигнального САПР вам не даст- проект не скомпилируется.
Запитывать банки разным напряжением можно, но важно помнить, что на входы следует подавать сигналы соответствующие питанию. Несоблюдение этого правила может привести к выходу из строя как самой пдис, так и электроники вне ПЛИС.

_________________
Загружая на вход компьютера "мусор", на выходе получим "мусор^32".
PS. Не работаю с: Proteus, Multisim, EWB, Micro-Cap... не спрашивайте даже


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Вт янв 07, 2020 19:27:39 
Открыл глаза

Зарегистрирован: Пн янв 21, 2019 17:38:29
Сообщений: 74
Рейтинг сообщения: 0
У меня микросхема поддерживается в 13 версии. Вопрос в том нет пункта IP Catalog вообще. Ни в Tools ни где нет. Отсюда я не понимаю как генератор внутренний использовать.

Питающий вывод я и не собирался. Я на оборот написал что если мой пример 1 и 70 пины попали на питающий то это случайно, я не видел перед глазами распиновки.
Вопрос был могут ли два пина с разных банок быть входами одного лог элемента. То есть Pin 1 это банк 2, Pin 2 это банк 1 я посмотрел уже. Можно ли на AND2 подключить входы, один в пин 1 (банк 2) второй вход к пин 2 (банк 1).


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Ср янв 08, 2020 07:12:03 
Друг Кота
Аватар пользователя

Карма: 9
Рейтинг сообщений: 96
Зарегистрирован: Пн июл 13, 2009 14:37:39
Сообщений: 3961
Откуда: Московская область, наукоград.....
Рейтинг сообщения: 0
В старых версиях вместо ip catalog был mega wizard.

_________________
Загружая на вход компьютера "мусор", на выходе получим "мусор^32".
PS. Не работаю с: Proteus, Multisim, EWB, Micro-Cap... не спрашивайте даже


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Ср янв 08, 2020 10:31:46 
Открыл глаза

Зарегистрирован: Пн янв 21, 2019 17:38:29
Сообщений: 74
Рейтинг сообщения: 0
Так не старая версия. Это 13 версия. В 9 версии есть IP Catalog. В 18 Версии есть IP Catalog. В 13 нет! В 13 как будто вместо него Qsys какой то, но там нет настройки внутреннего генератора...


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Сб янв 25, 2020 14:10:06 
Друг Кота
Аватар пользователя

Карма: 67
Рейтинг сообщений: 1012
Зарегистрирован: Чт сен 18, 2008 12:27:21
Сообщений: 18809
Откуда: Столица Мира Санкт-Петербург
Рейтинг сообщения: 0
Медали: 1
Получил миской по аватаре (1)
Вопрос был могут ли два пина с разных банок быть входами одного лог элемента.
Конечно могут. Банки — это просто свойство пина (а это может быть и дифф. пара), внутри они все приводятся к одному напряжению питания ядра.

В 13-м квартусе встроенный осциллятор вроде ALT_INTOSC.

_________________
[ Всё дело не столько в вашей глупости, сколько в моей гениальности ] [ Правильно заданный вопрос содержит в себе половину ответа ]
Измерить нннада?


Вернуться наверх
 
Показать сообщения за:  Сортировать по:  Вернуться наверх
Начать новую тему Ответить на тему  [ Сообщений: 123 ]     ... , , , 6,  

Часовой пояс: UTC + 3 часа


Кто сейчас на форуме

Сейчас этот форум просматривают: нет зарегистрированных пользователей и гости: 13


Вы не можете начинать темы
Вы не можете отвечать на сообщения
Вы не можете редактировать свои сообщения
Вы не можете удалять свои сообщения
Вы не можете добавлять вложения

Найти:
Перейти:  


Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group
Русская поддержка phpBB
Extended by Karma MOD © 2007—2012 m157y
Extended by Topic Tags MOD © 2012 m157y