Например TDA7294

Форум РадиоКот • Просмотр темы - Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
Форум РадиоКот
Здесь можно немножко помяукать :)





Текущее время: Чт мар 28, 2024 11:13:51

Часовой пояс: UTC + 3 часа


ПРЯМО СЕЙЧАС:



Начать новую тему Ответить на тему  [ Сообщений: 123 ]    , , 3, , , ,  
Автор Сообщение
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пт янв 03, 2014 18:01:45 
Мучитель микросхем
Аватар пользователя

Зарегистрирован: Вт июн 02, 2009 22:38:40
Сообщений: 477
Откуда: Город-герой Москва
Рейтинг сообщения: 0
Здравствуйте, всех с праздником :beer:
Взялся тут за ниос, в связи с чем возник вопрос. Какие компоненты необходимы в системе, что бы произвести отладку проекта?


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Сб янв 18, 2014 20:37:42 
Друг Кота
Аватар пользователя

Карма: 46
Рейтинг сообщений: 1368
Зарегистрирован: Пт авг 28, 2009 21:34:30
Сообщений: 7212
Откуда: 845-й км.
Рейтинг сообщения: 0
Медали: 1
Получил миской по аватаре (1)
Есть вопрос. Делаю дешифратор, но мне нужно один сегмент не выводить, т.е. пропустить:
Код:
vlamp1 <= not (digit_0 (6 downto 4) & digit_0 (2 downto 0)) when "0000",

Но проблема в том, что digit_0 определён как
Код:
constant digit_0:std_logic_vector := "0111111";

Получаю такое сообщение об ошибке:
ERROR:HDLParsers:807 - "C:/ISE/censored.vhd" Line 354. digit_0 can not be used with range downto.
Переопределять константы не хочется. Очень.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Сб янв 18, 2014 22:18:15 
Мудрый кот
Аватар пользователя

Карма: 11
Рейтинг сообщений: 21
Зарегистрирован: Чт авг 21, 2008 22:03:30
Сообщений: 1733
Откуда: Одесса(Украина)
Рейтинг сообщения: 0
uldemir писал(а):
vlamp1 <= not (digit_0 (6 downto 4) & digit_0 (2 downto 0)) when "0000",

vlamp1 <= not (digit_0 (4 to 6) & digit_0 (0 to 2)) when "0000"

_________________
(*tmp) &= ~( ( (param1 & (1<<PARAM1_BIT6)) || ((param2==PARAM2_TRUE)&&(--param3)) ) ? (param1 & (~param2)) : (Func1() | FUNC1_FLAGS) );
Люблю Си...


Вернуться наверх
 
PCBWay - всего $5 за 10 печатных плат, первый заказ для новых клиентов БЕСПЛАТЕН

Сборка печатных плат от $30 + БЕСПЛАТНАЯ доставка по всему миру + трафарет

Онлайн просмотровщик Gerber-файлов от PCBWay + Услуги 3D печати
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Вс янв 19, 2014 15:23:02 
Друг Кота
Аватар пользователя

Карма: 46
Рейтинг сообщений: 1368
Зарегистрирован: Пт авг 28, 2009 21:34:30
Сообщений: 7212
Откуда: 845-й км.
Рейтинг сообщения: 0
Медали: 1
Получил миской по аватаре (1)
Не получается. при компиляции ругани нет, но на индикаторе каша.

Попробовал vlamp1 (5 downto 0) переопределить как vlamp1 (0 to 5) - всё-равно каша. Но в этом случае, уже сам не понимаю почему. Выводы то vlamp1(0) как был подключен к сегменту A - так и остался.


Вернуться наверх
 
Сравнительное тестирование аккумуляторов EVE Energy и Samsung типоразмера 18650

Инженеры КОМПЭЛ провели сравнительное тестирование аккумуляторов EVE и Samsung популярного для бытовых и индустриальных применений типоразмера 18650. Для теста были выбраны аккумуляторы литий-никельмарганцевой системы: по два образца одного наименования каждого производителя – и протестированы на двух значениях тока разряда: 0,5 А и 2,5 А. Испытания проводились в нормальных условиях на электронной нагрузке EBD-USB от ZKEtech, а зарядка осуществлялась от лабораторного источника питания в режиме CC+CV в соответствии с рекомендациями в даташите на определенную модель.

Подробнее>>
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Вс янв 19, 2014 16:33:15 
Мудрый кот
Аватар пользователя

Карма: 11
Рейтинг сообщений: 21
Зарегистрирован: Чт авг 21, 2008 22:03:30
Сообщений: 1733
Откуда: Одесса(Украина)
Рейтинг сообщения: 0
Если получается каша - то проще уже подсоединить все разряды по отдельности руками к промежуточной переменной, а к ней уже применить where. Благо, разрядов у вас всего 7 штук. Кажется, здесь уже обсуждалась похожая проблема, только для верилога.
То есть сделать как-то так:
Код:
tmp <=  (digit_0 (0) & digit_0 (1) & ...)
vlamp1 <= not (tmp) when "0000"

_________________
(*tmp) &= ~( ( (param1 & (1<<PARAM1_BIT6)) || ((param2==PARAM2_TRUE)&&(--param3)) ) ? (param1 & (~param2)) : (Func1() | FUNC1_FLAGS) );
Люблю Си...


Вернуться наверх
 
Новый аккумулятор EVE серии PLM для GSM-трекеров, работающих в жёстких условиях (до -40°С)

Компания EVE выпустила новый аккумулятор серии PLM, сочетающий в себе высокую безопасность, длительный срок службы, широкий температурный диапазон и высокую токоотдачу даже при отрицательной температуре. Эти аккумуляторы поддерживают заряд при температуре от -40/-20°С (сниженным значением тока), безопасны (не воспламеняются и не взрываются) при механическом повреждении (протыкание и сдавливание), устойчивы к вибрации. Они могут применяться как для автотранспорта (трекеры, маячки, сигнализация), так и для промышленных устройств мониторинга, IoT-устройств.

Подробнее>>
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Вс янв 19, 2014 17:27:39 
Мучитель микросхем
Аватар пользователя

Зарегистрирован: Вт июн 02, 2009 22:38:40
Сообщений: 477
Откуда: Город-герой Москва
Рейтинг сообщения: 0
uldemir писал(а):
Не получается. при компиляции ругани нет, но на индикаторе каша.

А Вы в железе прям что ль отлаживаете? Может ноги попутали?


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Вс янв 19, 2014 19:15:04 
Друг Кота
Аватар пользователя

Карма: 46
Рейтинг сообщений: 1368
Зарегистрирован: Пт авг 28, 2009 21:34:30
Сообщений: 7212
Откуда: 845-й км.
Рейтинг сообщения: 0
Медали: 1
Получил миской по аватаре (1)
Отлаживаюсь, да, в железе. Ноги не попутал. До этого всё работало правильно. Но тут мне понадобился еще один сигнал, и я решил его занять у одной цифры сегмент D. Так как это часы и в том разряде отображаться будут только цифры от 0 до 5, то я могу сегмент A соединить с сегментом D, и один ключ я могу использовать для своих нужд. Вот теперь и думаю, как эту дырку написать.
РадиоЛоматель писал(а):
то проще уже подсоединить все разряды по отдельности
Да, мне пришла аналогичная мысль. И даже промежуточная переменная не нужна. Только будет несколько растянуто. Но, еще и хочется понять, почему так. Пока соединял так сигналы - всё получалось правильно. Я же так сдвиговые регистры делаю. Стоило с константой попробовать - фокус уже не проходит. Может описание константы другое нарисовать?

О, нашел! Действительно описание константы имело значение:
constant digit_0:std_logic_vector(6 downto 0) := "0111111"; -- работает
constant digit_0:std_logic_vector := "0111111"; -- не работает в данном конкретном случае...


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пн янв 20, 2014 21:22:46 
Друг Кота
Аватар пользователя

Карма: 9
Рейтинг сообщений: 96
Зарегистрирован: Пн июл 13, 2009 14:37:39
Сообщений: 3958
Откуда: Московская область, наукоград.....
Рейтинг сообщения: 0
uldemir писал(а):
Но проблема в том, что digit_0 определён как
Код:
constant digit_0:std_logic_vector := "0111111";

Получаю такое сообщение об ошибке:

Так может все гораздо банальнее? У Вас вектор размерностью 1(по коду представленному), объявите его
Код:
digit_0:std_logic_vector (6 downto 0):= "0111111"

_________________
Загружая на вход компьютера "мусор", на выходе получим "мусор^32".
PS. Не работаю с: Proteus, Multisim, EWB, Micro-Cap... не спрашивайте даже


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пн янв 20, 2014 21:47:05 
Друг Кота
Аватар пользователя

Карма: 46
Рейтинг сообщений: 1368
Зарегистрирован: Пт авг 28, 2009 21:34:30
Сообщений: 7212
Откуда: 845-й км.
Рейтинг сообщения: 0
Медали: 1
Получил миской по аватаре (1)
Да, именно так проблема и разрешилась. Смотреть предыдущее сообщение.
Но не доходит почему не было проблемы с тем описанием до этого? Другие разряды описанные как
Код:
           vlamp0   : out   STD_LOGIC_VECTOR (6 downto 0);
Нормально присваивалась эта константа с неправильным размером и всё отображалось правильно.
Код:
   vlamp0              <= not digit_0 when "0000",
Правильно, пока не начал добавлять (6 downto..). Пока не доходит мне этот нюанс. Если бы оно сразу выругалось или отображало бы не правильно - сразу заметил бы что пропустил в описании. Впредь буду осторожнее с этими вещами.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пн янв 20, 2014 22:18:52 
Друг Кота
Аватар пользователя

Карма: 9
Рейтинг сообщений: 96
Зарегистрирован: Пн июл 13, 2009 14:37:39
Сообщений: 3958
Откуда: Московская область, наукоград.....
Рейтинг сообщения: 0
Я так понимаю, в том чтоб объявить вектор размерностью 1 единицу, никакой крамолы нет. А вот когда из этого вектора пытаются получить, с добавкой, вектор больше чем добавка+размерность вектора - все и рушится.

_________________
Загружая на вход компьютера "мусор", на выходе получим "мусор^32".
PS. Не работаю с: Proteus, Multisim, EWB, Micro-Cap... не спрашивайте даже


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пт апр 04, 2014 08:09:43 
Вымогатель припоя
Аватар пользователя

Карма: 3
Рейтинг сообщений: 17
Зарегистрирован: Ср июн 08, 2011 15:37:56
Сообщений: 619
Откуда: ДВ
Рейтинг сообщения: 0
Всем привет. Столкнулся со следующей проблемой. Все условия в проекте выполняются, я не понимаю как так происходит. Но почему то все ифы открыты, из за чего такое может быть?

_________________
"Ленивый человек в бесчестном покое сходен с неподвижною болотною водою, которая, кроме смраду и презренных гадин, ничего не производит". М.В. Ломоносов


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пт апр 04, 2014 17:38:26 
Друг Кота
Аватар пользователя

Карма: 9
Рейтинг сообщений: 96
Зарегистрирован: Пн июл 13, 2009 14:37:39
Сообщений: 3958
Откуда: Московская область, наукоград.....
Рейтинг сообщения: 0
Мой телепатор говорит что ошибки идут со строки 13.

_________________
Загружая на вход компьютера "мусор", на выходе получим "мусор^32".
PS. Не работаю с: Proteus, Multisim, EWB, Micro-Cap... не спрашивайте даже


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пн апр 28, 2014 11:36:52 
Мучитель микросхем
Аватар пользователя

Зарегистрирован: Вт июн 02, 2009 22:38:40
Сообщений: 477
Откуда: Город-герой Москва
Рейтинг сообщения: 0
Здравствуйте коллеги. Такая тут история в общем... Надо на плисине сделать проектик. Вообщем то не сложный, но и времени мало да и зачем самому что то делать когда в ообщем... "Всё украдено до нас" (с). Так вот, по поводу "украдено" если бы я, разумеется чисто теоретически, захотел бы... как это - воспользоваться ниосом который ограничен временем, в полной мере без покупки лицензии :oops: Лазил тут по великому и ужасному rutreker но ничего не вышло, может кто поделится опытом в экспроприации. Да здравствует революция мирового пролитариата :beer: :kill:


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пн апр 28, 2014 12:07:33 
Вымогатель припоя
Аватар пользователя

Карма: 3
Рейтинг сообщений: 17
Зарегистрирован: Ср июн 08, 2011 15:37:56
Сообщений: 619
Откуда: ДВ
Рейтинг сообщения: 0
Я на Xilinxсовой игле сижу, тут ничем не помогу.

_________________
"Ленивый человек в бесчестном покое сходен с неподвижною болотною водою, которая, кроме смраду и презренных гадин, ничего не производит". М.В. Ломоносов


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пн апр 28, 2014 12:09:39 
Мучитель микросхем
Аватар пользователя

Зарегистрирован: Вт июн 02, 2009 22:38:40
Сообщений: 477
Откуда: Город-герой Москва
Рейтинг сообщения: 0
пфффф... печалька :? Ну альтеровцев вроде на сайте полно, может у кого получилось


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Чт май 01, 2014 15:34:01 
Родился

Зарегистрирован: Чт май 01, 2014 15:15:51
Сообщений: 3
Рейтинг сообщения: 0
ПОДСКАЖИТЕ! Как записать байт в ПЗУ из программы? :dont_know: Или другой способ, чтобы выкл-вкл устройства можно было обратиться к этому байту и он был сохранен? :beer:


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Чт май 01, 2014 19:36:37 
Вымогатель припоя
Аватар пользователя

Карма: 3
Рейтинг сообщений: 17
Зарегистрирован: Ср июн 08, 2011 15:37:56
Сообщений: 619
Откуда: ДВ
Рейтинг сообщения: 0
CORE IP в помощь.

_________________
"Ленивый человек в бесчестном покое сходен с неподвижною болотною водою, которая, кроме смраду и презренных гадин, ничего не производит". М.В. Ломоносов


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пн авг 11, 2014 17:57:45 
Встал на лапы

Карма: 1
Рейтинг сообщений: 17
Зарегистрирован: Сб мар 13, 2010 13:52:10
Сообщений: 85
Рейтинг сообщения: 0
Возможно и не актуально, но вопрос частый
Цитата:
как это - воспользоваться ниосом который ограничен временем, в полной мере без покупки лицензии

самый простой путь - в файле sys_cpt.dll заменяются 3 байта. Для каждой версии - свои. Далее берется лицензионный файл и в нем после hostid= записывается МАС-адрес сетевой карты. Шаблон лицензионного файла или генератор можно найти на рутрекере, адреса по которым нужно менять байты - на электрониксе. Если нужно подробнее - в личку.

_________________
misterdi<@>i.ua


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Вт авг 12, 2014 03:17:06 
Вымогатель припоя
Аватар пользователя

Карма: 3
Рейтинг сообщений: 17
Зарегистрирован: Ср июн 08, 2011 15:37:56
Сообщений: 619
Откуда: ДВ
Рейтинг сообщения: 0
Народ, если кому то нужны небрендовые и брендовые стенды с плис, мк и тд , недорого - пишите в личку, договоримся.
Помимо этого есть еще масса других товаров, так же почти за даром!!!

_________________
"Ленивый человек в бесчестном покое сходен с неподвижною болотною водою, которая, кроме смраду и презренных гадин, ничего не производит". М.В. Ломоносов


Последний раз редактировалось dimitriy91 Вт авг 12, 2014 16:28:31, всего редактировалось 1 раз.

Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Вт авг 12, 2014 11:32:51 
Мучитель микросхем
Аватар пользователя

Зарегистрирован: Вт июн 02, 2009 22:38:40
Сообщений: 477
Откуда: Город-герой Москва
Рейтинг сообщения: 0
MisterDi писал(а):
Возможно и не актуально, но вопрос частый
Цитата:
как это - воспользоваться ниосом который ограничен временем, в полной мере без покупки лицензии

самый простой путь - в файле sys_cpt.dll заменяются 3 байта. Для каждой версии - свои. Далее берется лицензионный файл и в нем после hostid= записывается МАС-адрес сетевой карты. Шаблон лицензионного файла или генератор можно найти на рутрекере, адреса по которым нужно менять байты - на электрониксе. Если нужно подробнее - в личку.

Спасибо, разобрлся уже несколько месяцев назад сам. Впрочем всё было на поверхности, стоило просто потратить час времени. :))
PS
Надо признать что даже не самый навороченый ниос, куда проворнее басяцкого! Впрочем чего ещё от капиталистов ожидать :kill:


Вернуться наверх
 
Показать сообщения за:  Сортировать по:  Вернуться наверх
Начать новую тему Ответить на тему  [ Сообщений: 123 ]    , , 3, , , ,  

Часовой пояс: UTC + 3 часа


Кто сейчас на форуме

Сейчас этот форум просматривают: нет зарегистрированных пользователей и гости: 5


Вы не можете начинать темы
Вы не можете отвечать на сообщения
Вы не можете редактировать свои сообщения
Вы не можете удалять свои сообщения
Вы не можете добавлять вложения

Найти:
Перейти:  


Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group
Русская поддержка phpBB
Extended by Karma MOD © 2007—2012 m157y
Extended by Topic Tags MOD © 2012 m157y