Например TDA7294

Форум РадиоКот • Просмотр темы - Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
Форум РадиоКот
Здесь можно немножко помяукать :)





Текущее время: Вт апр 16, 2024 23:47:44

Часовой пояс: UTC + 3 часа


ПРЯМО СЕЙЧАС:



Начать новую тему Ответить на тему  [ Сообщений: 123 ]  1, , , , ...  
Автор Сообщение
Не в сети
 Заголовок сообщения: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пт окт 04, 2013 15:21:11 
Вымогатель припоя
Аватар пользователя

Карма: 3
Рейтинг сообщений: 17
Зарегистрирован: Ср июн 08, 2011 15:37:56
Сообщений: 619
Откуда: ДВ
Рейтинг сообщения: 0
Набрался наглости и решил создать эту тему.

Корректно ли использовать логические операторы в VHDL , типо and , or , xor вне процесса. Компилируется то оно компилируется , а с задержками может быть беда ?

_________________
"Ленивый человек в бесчестном покое сходен с неподвижною болотною водою, которая, кроме смраду и презренных гадин, ничего не производит". М.В. Ломоносов


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пт окт 04, 2013 17:31:17 
Друг Кота
Аватар пользователя

Карма: 46
Рейтинг сообщений: 1368
Зарегистрирован: Пт авг 28, 2009 21:34:30
Сообщений: 7214
Откуда: 845-й км.
Рейтинг сообщения: 0
Медали: 1
Получил миской по аватаре (1)
Если вы на этих and, or, xor не пытаетесь создать регистры, то не вижу смысла заботиться о задержках. Хотя, надо понимать, что задержки будут в любом случае и их величина зависеть будет от того, в сколько макроячеек (для CPLD) эта логика уложится. Если это критично - PostFit симуляция для проверки.

А так это нормальная практика, по моему. В процессе крутятся счетчики, а на выход идут результаты этих счетчиков через небольшую логику.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пт окт 04, 2013 17:38:09 
Вымогатель припоя
Аватар пользователя

Карма: 3
Рейтинг сообщений: 17
Зарегистрирован: Ср июн 08, 2011 15:37:56
Сообщений: 619
Откуда: ДВ
Рейтинг сообщения: 0
Спасибо.

_________________
"Ленивый человек в бесчестном покое сходен с неподвижною болотною водою, которая, кроме смраду и презренных гадин, ничего не производит". М.В. Ломоносов


Вернуться наверх
 
PCBWay - всего $5 за 10 печатных плат, первый заказ для новых клиентов БЕСПЛАТЕН

Сборка печатных плат от $30 + БЕСПЛАТНАЯ доставка по всему миру + трафарет

Онлайн просмотровщик Gerber-файлов от PCBWay + Услуги 3D печати
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пт окт 04, 2013 22:13:17 
Друг Кота
Аватар пользователя

Карма: 9
Рейтинг сообщений: 96
Зарегистрирован: Пн июл 13, 2009 14:37:39
Сообщений: 3961
Откуда: Московская область, наукоград.....
Рейтинг сообщения: 0
Не в тему задержек. Если вдруг Вам приспичит проверять синтез в том же моделсиме, а проверяемая конструкция будет иметь вид
Код:
D1:name_component
PORT MAP(
clk=>clk,
A=> Ain,
B=>sign1 and sign2,
C=>sign3 or sign5,
D=>sign4 xor sign2 and sign5)

то данная конструкция не отработается, хотя среда проектирования (например квартус) ее вполне переварит.

_________________
Загружая на вход компьютера "мусор", на выходе получим "мусор^32".
PS. Не работаю с: Proteus, Multisim, EWB, Micro-Cap... не спрашивайте даже


Вернуться наверх
 
Организация питания на основе надежных литиевых аккумуляторов EVE и микросхем азиатского производства

Качественное и безопасное устройство, работающее от аккумулятора, должно учитывать его физические и химические свойства, профили заряда и разряда, их изменение во времени и под влиянием различных условий, таких как температура и ток нагрузки. Мы расскажем о литий-ионных аккумуляторных батареях EVE и нескольких решениях от различных китайских компаний, рекомендуемых для разработок приложений с использованием этих АКБ. Представленные в статье китайские аналоги помогут заменить продукцию западных брендов с оптимизацией цены без потери качества.

Подробнее>>
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Сб окт 05, 2013 14:01:57 
Вымогатель припоя
Аватар пользователя

Карма: 3
Рейтинг сообщений: 17
Зарегистрирован: Ср июн 08, 2011 15:37:56
Сообщений: 619
Откуда: ДВ
Рейтинг сообщения: 0
Всем привет. Почему моделирование прошивки в ISim , ISim больше 1.26 Us не позволяет. Останавливает моделирование.

Как можно вылечить данный недуг ?

_________________
"Ленивый человек в бесчестном покое сходен с неподвижною болотною водою, которая, кроме смраду и презренных гадин, ничего не производит". М.В. Ломоносов


Вернуться наверх
 
Новый аккумулятор EVE серии PLM для GSM-трекеров, работающих в жёстких условиях (до -40°С)

Компания EVE выпустила новый аккумулятор серии PLM, сочетающий в себе высокую безопасность, длительный срок службы, широкий температурный диапазон и высокую токоотдачу даже при отрицательной температуре. Эти аккумуляторы поддерживают заряд при температуре от -40/-20°С (сниженным значением тока), безопасны (не воспламеняются и не взрываются) при механическом повреждении (протыкание и сдавливание), устойчивы к вибрации. Они могут применяться как для автотранспорта (трекеры, маячки, сигнализация), так и для промышленных устройств мониторинга, IoT-устройств.

Подробнее>>
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Вт окт 15, 2013 14:17:39 
Вымогатель припоя
Аватар пользователя

Карма: 3
Рейтинг сообщений: 17
Зарегистрирован: Ср июн 08, 2011 15:37:56
Сообщений: 619
Откуда: ДВ
Рейтинг сообщения: 0
Всем привет. Пишу код на VHDL по вычислению CRC8 принятых данных по rs232

Код:
--g(x)=x^8+x^5+x^4+1
crc8 := crc8(6)&crc8(5)&(crc8(4) xor crc8(7))&(crc8(3) xor crc8(7))&crc8(2)&crc8(1)&crc8(0)&(crc8(7) xor rs232_rx);


Что неправильно в этой записи?

На сайте http://outputlogic.com/?page_id=27 сгенерировал vhdl код CRC8 для полинома 0x31, работает, что у меня неправильно не понимаю (((((

_________________
"Ленивый человек в бесчестном покое сходен с неподвижною болотною водою, которая, кроме смраду и презренных гадин, ничего не производит". М.В. Ломоносов


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Вт окт 15, 2013 17:20:36 
Друг Кота
Аватар пользователя

Карма: 46
Рейтинг сообщений: 1368
Зарегистрирован: Пт авг 28, 2009 21:34:30
Сообщений: 7214
Откуда: 845-й км.
Рейтинг сообщения: 0
Медали: 1
Получил миской по аватаре (1)
У меня так:
crc <= (crc(0) xor datain) & crc (7 downto 5) & (crc(4) xor crc(0) xor datain) & (crc(3) xor crc(0) xor datain) & crc(2 downto 1);
Короче, там где XOR с последним битом, там еще нужен XOR с входными данными тоже


Последний раз редактировалось uldemir Вт окт 15, 2013 17:23:20, всего редактировалось 1 раз.

Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Вт окт 15, 2013 17:22:03 
Вымогатель припоя
Аватар пользователя

Карма: 3
Рейтинг сообщений: 17
Зарегистрирован: Ср июн 08, 2011 15:37:56
Сообщений: 619
Откуда: ДВ
Рейтинг сообщения: 0
Это робит ? :))

_________________
"Ленивый человек в бесчестном покое сходен с неподвижною болотною водою, которая, кроме смраду и презренных гадин, ничего не производит". М.В. Ломоносов


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Вт окт 15, 2013 17:24:56 
Друг Кота
Аватар пользователя

Карма: 46
Рейтинг сообщений: 1368
Зарегистрирован: Пт авг 28, 2009 21:34:30
Сообщений: 7214
Откуда: 845-й км.
Рейтинг сообщения: 0
Медали: 1
Получил миской по аватаре (1)
Это из совершенно работоспособного проекта - измерение температуры далласовским 1-wire датчиком ds18x20/22


Последний раз редактировалось uldemir Вт окт 15, 2013 17:26:25, всего редактировалось 1 раз.

Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Вт окт 15, 2013 17:25:45 
Вымогатель припоя
Аватар пользователя

Карма: 3
Рейтинг сообщений: 17
Зарегистрирован: Ср июн 08, 2011 15:37:56
Сообщений: 619
Откуда: ДВ
Рейтинг сообщения: 0
Спасибо.

_________________
"Ленивый человек в бесчестном покое сходен с неподвижною болотною водою, которая, кроме смраду и презренных гадин, ничего не производит". М.В. Ломоносов


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Сб окт 19, 2013 12:11:39 
Мучитель микросхем
Аватар пользователя

Зарегистрирован: Вт июн 02, 2009 22:38:40
Сообщений: 477
Откуда: Город-герой Москва
Рейтинг сообщения: 0
Товарищи коты, такой вопрос. Впринципе знаю вхдл довольно неплохо (как мне казалось). Но тут наткнулся на вот такую дурацкую штуку а именно: есть 2 вектора А : std_logic_vectrjr(7 downto 0) и
В : std_logic_vectrjr(3 downto 0). И надо мне вот чё :
A(7 downto 0) <= B(0 to 7);
На такую запись компилятор ругается, присвоение векторов в заданном изначально направлении ему подавай! :evil: Вот я и задумался, а как так сделать то, что б за один такт? Можно конечно написать : А(0) <= B(7), A(1) <= B(6)..... Можно и так A <= B(7) & B(6) & B(5)... Но эт хорошо, если битов 8 а если 128? Есть конечно всякие операторы сдвигов и т.п. но что там компилятор наразводит реализуя их использовании я не знаю.... Вот может кто подскажет?


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Сб окт 19, 2013 12:31:25 
Вымогатель припоя
Аватар пользователя

Карма: 3
Рейтинг сообщений: 17
Зарегистрирован: Ср июн 08, 2011 15:37:56
Сообщений: 619
Откуда: ДВ
Рейтинг сообщения: 0
for I in 7 downto 0 loop
A(I) <= B(7-I);
end loop;

_________________
"Ленивый человек в бесчестном покое сходен с неподвижною болотною водою, которая, кроме смраду и презренных гадин, ничего не производит". М.В. Ломоносов


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пн окт 21, 2013 07:23:22 
Мучитель микросхем
Аватар пользователя

Зарегистрирован: Вт июн 02, 2009 22:38:40
Сообщений: 477
Откуда: Город-герой Москва
Рейтинг сообщения: 0
Да, мысль не плохая... только вот одним тактом в данном случае не отделаешься....


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пн окт 21, 2013 09:09:54 
Вымогатель припоя
Аватар пользователя

Карма: 3
Рейтинг сообщений: 17
Зарегистрирован: Ср июн 08, 2011 15:37:56
Сообщений: 619
Откуда: ДВ
Рейтинг сообщения: 0
Вот в чём весь и прикол. ОТДЕЛАЕШЬСЯ один тактом.
Я раньше тоже не понимал. Тут for .... loop , это не тот for как допустим в си/с++ . В данном случаем компилятор VHDL из :

Код:
for I in 7 downto 0 loop
A(I) <= B(7-I);
end loop;


Сделает вот так:

Код:
A(7) <= B(0);
A(6) <= B(1);
A(5) <= B(2);
A(4) <= B(3);
A(3) <= B(4);
A(2) <= B(5);
A(1) <= B(6);
A(0) <= B(7);


Есть неплохая книжка. Называется FPGA Express, там про это написано.

_________________
"Ленивый человек в бесчестном покое сходен с неподвижною болотною водою, которая, кроме смраду и презренных гадин, ничего не производит". М.В. Ломоносов


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пн окт 21, 2013 09:13:55 
Мучитель микросхем
Аватар пользователя

Зарегистрирован: Вт июн 02, 2009 22:38:40
Сообщений: 477
Откуда: Город-герой Москва
Рейтинг сообщения: 0
хм... я как то использовал (давно) эту конструкцию и готов биться об заклад что выполняется она по тактам... или может я что то забыл... Вообщем сейчас промоделирую. За книжку отдельное спасибо :)


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пн окт 21, 2013 09:16:31 
Вымогатель припоя
Аватар пользователя

Карма: 3
Рейтинг сообщений: 17
Зарегистрирован: Ср июн 08, 2011 15:37:56
Сообщений: 619
Откуда: ДВ
Рейтинг сообщения: 0
Вот вырезки из книги.


Вложения:
Снимок.PNG [33.22 KiB]
Скачиваний: 1275
Снимок2.PNG [72.78 KiB]
Скачиваний: 864

_________________
"Ленивый человек в бесчестном покое сходен с неподвижною болотною водою, которая, кроме смраду и презренных гадин, ничего не производит". М.В. Ломоносов
Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пн окт 21, 2013 09:28:30 
Мучитель микросхем
Аватар пользователя

Зарегистрирован: Вт июн 02, 2009 22:38:40
Сообщений: 477
Откуда: Город-герой Москва
Рейтинг сообщения: 0
Ну что ж, dimitriy91, ModelSim показал, что эту битву ты выиграл, НО ВОЙНА ЗА МНОЙ :)) Всё действительно нормально работает, просто loop использую только в TEST_BENCHах не особо замарачиваясь над тем как он там выполняется... Вообщем спасибо, теперь усвою :))


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Пн окт 21, 2013 09:29:29 
Вымогатель припоя
Аватар пользователя

Карма: 3
Рейтинг сообщений: 17
Зарегистрирован: Ср июн 08, 2011 15:37:56
Сообщений: 619
Откуда: ДВ
Рейтинг сообщения: 0
:beer:

_________________
"Ленивый человек в бесчестном покое сходен с неподвижною болотною водою, которая, кроме смраду и презренных гадин, ничего не производит". М.В. Ломоносов


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Чт ноя 07, 2013 16:14:23 
Потрогал лапой паяльник
Аватар пользователя

Зарегистрирован: Вс май 06, 2012 09:58:33
Сообщений: 370
Откуда: Магадан
Рейтинг сообщения: 0
День добрый. Посоветуйте порядок действий перепрошивания плисины.

Плис не новая, и в ней уже имеется прошивка. Я подкорректировал проект, и снова залил прошивку в плис. С тех пор она какую то бредятину показывать стала. (запрыгали сигналы на тех пинах, где их не должно быть, и все пины перепутались.) Хотя прошивка самой плисины работает как надо (правильно суммирует и подает в нужной последовательности импульсы)


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них
СообщениеДобавлено: Чт ноя 07, 2013 16:37:12 
Вымогатель припоя
Аватар пользователя

Карма: 3
Рейтинг сообщений: 17
Зарегистрирован: Ср июн 08, 2011 15:37:56
Сообщений: 619
Откуда: ДВ
Рейтинг сообщения: 0
Начнём с того, что в ПЛИС прошивка храниться не может. А то, что пины не тезапрыгали, то надо в PlanAhead правильно всё указать. Какой производитель ПЛИС , хотя бы сказали ?! Я так понял речь идёт о старом стенде ?

_________________
"Ленивый человек в бесчестном покое сходен с неподвижною болотною водою, которая, кроме смраду и презренных гадин, ничего не производит". М.В. Ломоносов


Вернуться наверх
 
Показать сообщения за:  Сортировать по:  Вернуться наверх
Начать новую тему Ответить на тему  [ Сообщений: 123 ]  1, , , , ...  

Часовой пояс: UTC + 3 часа


Кто сейчас на форуме

Сейчас этот форум просматривают: нет зарегистрированных пользователей и гости: 2


Вы не можете начинать темы
Вы не можете отвечать на сообщения
Вы не можете редактировать свои сообщения
Вы не можете удалять свои сообщения
Вы не можете добавлять вложения

Найти:
Перейти:  


Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group
Русская поддержка phpBB
Extended by Karma MOD © 2007—2012 m157y
Extended by Topic Tags MOD © 2012 m157y