Например TDA7294

Форум РадиоКот • Просмотр темы - Параллельная запись и формирование строба
Форум РадиоКот
Здесь можно немножко помяукать :)





Текущее время: Вт апр 16, 2024 20:26:25

Часовой пояс: UTC + 3 часа


ПРЯМО СЕЙЧАС:



Начать новую тему Ответить на тему  [ Сообщений: 2 ] 
Автор Сообщение
Не в сети
 Заголовок сообщения: Параллельная запись и формирование строба
СообщениеДобавлено: Пт ноя 24, 2017 00:16:20 
Родился

Зарегистрирован: Вт ноя 21, 2017 10:57:09
Сообщений: 15
Рейтинг сообщения: 0
Требуется построить схему записи числа в регистр в параллельном виде и выдаче строба готовности результата длительностью 1 такт. Подскажите пожалуйста каким образом можно в процессе загрузить число в регистр параллельно? А для формирования строба готовности нужно использовать задержку последнего разряда сигнала на 1 такт:
signal data_valid : std_logic; -- сигнал длительностью много тактов
signal data_valid_d : std_logic;
signal strob : std_logic;

process (clk) begin
if rising_edge(clk) then
data_valid_d <= data_valid;-- задержка сигнала на один такт
end if;
end process;

strob <= data_valid and (not data_valid_d);


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: Параллельная запись и формирование строба
СообщениеДобавлено: Пт ноя 24, 2017 09:57:10 
Родился
Аватар пользователя

Зарегистрирован: Вт сен 06, 2016 10:36:15
Сообщений: 6
Рейтинг сообщения: 0
https://www.youtube.com/watch?v=s9wNDM2l6L0


Вернуться наверх
 
Показать сообщения за:  Сортировать по:  Вернуться наверх
Начать новую тему Ответить на тему  [ Сообщений: 2 ] 

Часовой пояс: UTC + 3 часа


Кто сейчас на форуме

Сейчас этот форум просматривают: нет зарегистрированных пользователей и гости: 4


Вы не можете начинать темы
Вы не можете отвечать на сообщения
Вы не можете редактировать свои сообщения
Вы не можете удалять свои сообщения
Вы не можете добавлять вложения

Найти:
Перейти:  


Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group
Русская поддержка phpBB
Extended by Karma MOD © 2007—2012 m157y
Extended by Topic Tags MOD © 2012 m157y