Например TDA7294

Форум РадиоКот • Просмотр темы - XILINX 9572 у чайника
Форум РадиоКот
Здесь можно немножко помяукать :)





Текущее время: Вт апр 16, 2024 16:54:36

Часовой пояс: UTC + 3 часа


ПРЯМО СЕЙЧАС:



Начать новую тему Ответить на тему  [ Сообщений: 163 ]  1, , , , ...  
Автор Сообщение
Не в сети
 Заголовок сообщения: XILINX 9572 у чайника
СообщениеДобавлено: Ср фев 24, 2016 00:24:44 
Друг Кота
Аватар пользователя

Карма: 76
Рейтинг сообщений: 768
Зарегистрирован: Чт мар 07, 2013 20:39:17
Сообщений: 3649
Откуда: Краснодар
Рейтинг сообщения: 0
Здравствуйте! Есть несколько микросхем XILINX 9572 РС84. В обучалке есть две статьи - Первое знакомство с ПЛИС Xilinx. Делаем макетную плату.
Скачал ISE девятой версии (они её спрятали и перенесли в архив , так же, после скачивания, не забываем нажать рядом кнопку регистрации ID чтоб получить ключик), немного поигрался, с той же последовательностью, как в статьях выше. Вроде квадратики клеются, ногами что-то там дрыгают. :)) Подкупили именно кубики, т.к. волшебными языками я не владею, а тут из кубиков-рубиков, вроде как, можно хитрые операции проводить :)
Сейчас хочу перейти к собиранию макетки, и программатора, помигать для начала светодиодами. Возникло несколько вопросов:
У макетки, какие ноги микросхемы куда развести. Предварительно смотрел, но пока в ногах ещё путаюсь и чтоб не накосячить (а это я умею :))) ), решил попросить вашего совета и помощи.
Про кварц. В статье написано - чем больше тем лучше. :shock: У меня есть разные резонаторы, генераторы, если не ошибаюсь, то до 70 МГц, изначально на платах вроде на 40 стояли. Какие мне поставить :dont_know:
Программатор, я так понимаю, его надо к LPT порту подключать. И какие ноги микросхемы к нему, куда цеплять?
Ну и по самим кубикам, есть где более подробное описание на них? Маркировки особой как бы не заметил, даташит не почитать :)) И если какой либо элемент отсутствует в базе, его нельзя найти где-то, скачать?
За ранее всем откликнувшимся спасибо!

Изображение


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: XILINX 9572 у чайника
СообщениеДобавлено: Ср фев 24, 2016 05:54:09 
Друг Кота
Аватар пользователя

Карма: 9
Рейтинг сообщений: 96
Зарегистрирован: Пн июл 13, 2009 14:37:39
Сообщений: 3961
Откуда: Московская область, наукоград.....
Рейтинг сообщения: 3
Ставить надо генераторы. С частотой выбирайте не больше 50МГц - не ошибетесь.
Относительно к каким выводам подключаться - смотрите на стр 7 выводы TCK, TMS, TDI и TDO

_________________
Загружая на вход компьютера "мусор", на выходе получим "мусор^32".
PS. Не работаю с: Proteus, Multisim, EWB, Micro-Cap... не спрашивайте даже


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: XILINX 9572 у чайника
СообщениеДобавлено: Ср фев 24, 2016 06:46:53 
Друг Кота
Аватар пользователя

Карма: 76
Рейтинг сообщений: 768
Зарегистрирован: Чт мар 07, 2013 20:39:17
Сообщений: 3649
Откуда: Краснодар
Рейтинг сообщения: 0
Генераторы есть на 10 МГц и на 33 МГц. К стати, как его правильно подключать? На прямую или через сопротивление? И на какую ногу? (это можно конечно и на старых платах посмотреть)
Масса и четыре ноги я понял куда к программатору цеплять, непонятна функция с двумя плюсами и что делать с остальными ногами :shock:
Осталось ~74 ноги :)))
СпойлерИзображение


Вернуться наверх
 
PCBWay - всего $5 за 10 печатных плат, первый заказ для новых клиентов БЕСПЛАТЕН

Сборка печатных плат от $30 + БЕСПЛАТНАЯ доставка по всему миру + трафарет

Онлайн просмотровщик Gerber-файлов от PCBWay + Услуги 3D печати
Не в сети
 Заголовок сообщения: Re: XILINX 9572 у чайника
СообщениеДобавлено: Ср фев 24, 2016 19:59:19 
Друг Кота
Аватар пользователя

Карма: 9
Рейтинг сообщений: 96
Зарегистрирован: Пн июл 13, 2009 14:37:39
Сообщений: 3961
Откуда: Московская область, наукоград.....
Рейтинг сообщения: 3
asvhmao писал(а):
К стати, как его правильно подключать? На прямую или через сопротивление? И на какую ногу?

Можно и так и эдак - лучше через резистор. Для тактирования выделены специальные выводы, обозначаемые как GCK1..GCK3. Если подавать такты на эти выводы, то производитель гарантирует самое быстрое распространение тактового сигнала. Но при сильном желании, можно подавать и на другие выводы, но тогда о заявленных 125 МГц речи и быть не может.
asvhmao писал(а):
непонятна функция с двумя плюсами и что делать с остальными ногами

ПЛИС требуют нескольких источников. Прежде всего - питание ядра, обозначается как VCCINT. Далее следуют один или несколько портов ввода-вывода VCCIO.
Одним из плюсов разделенного питания является то, что через плис можно "подружить" скажем AVRку с 5тивольтовым питанием и какой-нибудь STM32 с питанием 3,3 В.
Для этого достаточно один из портов питать 5В, а второй - 3,3В.
Остальные выводы, обозначенные как I/O предназначены для подключения в внешним миром и в зависимости от потребностей и желания автора схемы, могут быть назначены как входы, выходы или как двунаправленные.

_________________
Загружая на вход компьютера "мусор", на выходе получим "мусор^32".
PS. Не работаю с: Proteus, Multisim, EWB, Micro-Cap... не спрашивайте даже


Вернуться наверх
 
Организация питания на основе надежных литиевых аккумуляторов EVE и микросхем азиатского производства

Качественное и безопасное устройство, работающее от аккумулятора, должно учитывать его физические и химические свойства, профили заряда и разряда, их изменение во времени и под влиянием различных условий, таких как температура и ток нагрузки. Мы расскажем о литий-ионных аккумуляторных батареях EVE и нескольких решениях от различных китайских компаний, рекомендуемых для разработок приложений с использованием этих АКБ. Представленные в статье китайские аналоги помогут заменить продукцию западных брендов с оптимизацией цены без потери качества.

Подробнее>>
Не в сети
 Заголовок сообщения: Re: XILINX 9572 у чайника
СообщениеДобавлено: Ср фев 24, 2016 22:08:55 
Друг Кота
Аватар пользователя

Карма: 76
Рейтинг сообщений: 768
Зарегистрирован: Чт мар 07, 2013 20:39:17
Сообщений: 3649
Откуда: Краснодар
Рейтинг сообщения: 0
Сегодня полез искать плату, с которой сдул ХС9572, что б посмотреть на какие ноги генератор подключается, наткнулся на другую плату с XC95144 PQG160, у автора PQ160, в чём подвох :shock:
Если они одинаковые, то тогда думаю, наверное лучше её собрать, запустить, а затем уже плисать дальше. :)

Изображение


Вернуться наверх
 
Новый аккумулятор EVE серии PLM для GSM-трекеров, работающих в жёстких условиях (до -40°С)

Компания EVE выпустила новый аккумулятор серии PLM, сочетающий в себе высокую безопасность, длительный срок службы, широкий температурный диапазон и высокую токоотдачу даже при отрицательной температуре. Эти аккумуляторы поддерживают заряд при температуре от -40/-20°С (сниженным значением тока), безопасны (не воспламеняются и не взрываются) при механическом повреждении (протыкание и сдавливание), устойчивы к вибрации. Они могут применяться как для автотранспорта (трекеры, маячки, сигнализация), так и для промышленных устройств мониторинга, IoT-устройств.

Подробнее>>
Не в сети
 Заголовок сообщения: Re: XILINX 9572 у чайника
СообщениеДобавлено: Ср фев 24, 2016 22:26:03 
Друг Кота
Аватар пользователя

Карма: 9
Рейтинг сообщений: 96
Зарегистрирован: Пн июл 13, 2009 14:37:39
Сообщений: 3961
Откуда: Московская область, наукоград.....
Рейтинг сообщения: 3
asvhmao писал(а):
Если они одинаковые, то

Вот почему Вы готовы идти любым путем, за исключением обращения к документации?
Эти плис не совсем одинаковые, или совсем не одинаковые (во всяком случае с точки зрения назначения выводов и их количества)

_________________
Загружая на вход компьютера "мусор", на выходе получим "мусор^32".
PS. Не работаю с: Proteus, Multisim, EWB, Micro-Cap... не спрашивайте даже


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: XILINX 9572 у чайника
СообщениеДобавлено: Ср фев 24, 2016 22:39:46 
Друг Кота
Аватар пользователя

Карма: 76
Рейтинг сообщений: 768
Зарегистрирован: Чт мар 07, 2013 20:39:17
Сообщений: 3649
Откуда: Краснодар
Рейтинг сообщения: 0
Знать бы ещё куда смотреть :oops: Назначение выводов XC95144 PQ160 я в ней уже смотрел, а где смотреть XC95144 PQG160? :shock:


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: XILINX 9572 у чайника
СообщениеДобавлено: Чт фев 25, 2016 05:58:19 
Друг Кота
Аватар пользователя

Карма: 9
Рейтинг сообщений: 96
Зарегистрирован: Пн июл 13, 2009 14:37:39
Сообщений: 3961
Откуда: Московская область, наукоград.....
Рейтинг сообщения: 3
Я Вам уже дал ссылку на документ, там на стр 9 оба исполнения (строки друг под дружкой) PQG160 - это корпус в квадратном исполнении. Распиновка как у остальных в 160 выводном корпусе.

_________________
Загружая на вход компьютера "мусор", на выходе получим "мусор^32".
PS. Не работаю с: Proteus, Multisim, EWB, Micro-Cap... не спрашивайте даже


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: XILINX 9572 у чайника
СообщениеДобавлено: Пт мар 04, 2016 17:01:33 
Друг Кота
Аватар пользователя

Карма: 76
Рейтинг сообщений: 768
Зарегистрирован: Чт мар 07, 2013 20:39:17
Сообщений: 3649
Откуда: Краснодар
Рейтинг сообщения: 0
Собрал платку как в статье, на xc95144pqg160 и программатор на hc125

Изображение Изображение

Запускаю iMPACT , выдаёт ошибку при инициализации.

Изображение

Попробовал подключить к другой плате, с xc9572. Выдаёт туже ошибку.

Изображение

На другом компьютере всё тоже самое. Генерация на ПЛИС есть. Питание в норме. Микросхемы бывшего употребления, с исправного оборудования (какая-то прошивка внутри их наверное есть). Думаю сейчас симуляцию самого программатора провести, попутно может ещё что подскажите?


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: XILINX 9572 у чайника
СообщениеДобавлено: Пт мар 04, 2016 17:11:38 
Друг Кота
Аватар пользователя

Карма: 46
Рейтинг сообщений: 1368
Зарегистрирован: Пт авг 28, 2009 21:34:30
Сообщений: 7214
Откуда: 845-й км.
Рейтинг сообщения: 3
Медали: 1
Получил миской по аватаре (1)
Это не атмел - генерация для программирования не нужна.
1. LPT порт настоящий?
2. Питание подано правильно?
Ну и сам iMpact надо настроить на работу через параллельный порт.
Главное добиться, чтобы отработало Boundary Scan и показало наличие устройств.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: XILINX 9572 у чайника
СообщениеДобавлено: Пт мар 04, 2016 17:59:03 
Друг Кота
Аватар пользователя

Карма: 76
Рейтинг сообщений: 768
Зарегистрирован: Чт мар 07, 2013 20:39:17
Сообщений: 3649
Откуда: Краснодар
Рейтинг сообщения: 0
Про генерацию понял.
1. Наверное, да. В стареньком буке LG есть с хр вместе и на материнке в системнике. Принтер НР 6l вроде как кушает.
2. Полярность не путал, GND все ноги на земле, Vccin и Vccio соединённые вместе. На микросхемах программатора ~4,7V.
3. В iMpact какие настройки надо делать? Куда смотреть? В установках порта стоит LPT1, в свойствах порта в диспечере устройств тоже самое. :dont_know:


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: XILINX 9572 у чайника
СообщениеДобавлено: Пт мар 04, 2016 18:07:12 
Друг Кота
Аватар пользователя

Карма: 46
Рейтинг сообщений: 1368
Зарегистрирован: Пт авг 28, 2009 21:34:30
Сообщений: 7214
Откуда: 845-й км.
Рейтинг сообщения: 3
Медали: 1
Получил миской по аватаре (1)
А какой адрес порта? 0х378 (можно посмотретьб в параметрах Device Manager)? Меня смущает слово "бук". Принтеры едят даже переходники USB-LPT.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: XILINX 9572 у чайника
СообщениеДобавлено: Пт мар 04, 2016 18:11:11 
Друг Кота
Аватар пользователя

Карма: 76
Рейтинг сообщений: 768
Зарегистрирован: Чт мар 07, 2013 20:39:17
Сообщений: 3649
Откуда: Краснодар
Рейтинг сообщения: 0
Как и где посмотреть этот адрес порта?

P.s. Может что-то даст портянка которая в низу писалась?

http://img.radiokot.ru/files/85696/vhs6jvl4r.jpg

Ну и на компьютере так

Изображение


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: XILINX 9572 у чайника
СообщениеДобавлено: Пт мар 04, 2016 18:48:13 
Друг Кота
Аватар пользователя

Карма: 46
Рейтинг сообщений: 1368
Зарегистрирован: Пт авг 28, 2009 21:34:30
Сообщений: 7214
Откуда: 845-й км.
Рейтинг сообщения: 3
Медали: 1
Получил миской по аватаре (1)
Вроде всё правильно. остаётся только искать может где неправильное соединение или кристалл не рабочий.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: XILINX 9572 у чайника
СообщениеДобавлено: Пт мар 04, 2016 18:59:22 
Друг Кота
Аватар пользователя

Карма: 76
Рейтинг сообщений: 768
Зарегистрирован: Чт мар 07, 2013 20:39:17
Сообщений: 3649
Откуда: Краснодар
Рейтинг сообщения: 0
Больше склоняюсь к заморочками с программатором, ну и может ещё какие секреты есть, которые я пока не знаю. :dont_know: Ноги звонил много раз, на кз и на обрыв. Камни с рабочих машин, старался не перегревать и не выбить статикой. Вторая плата вообще заводская, только подал питание и подцепил выводы к пограмматору.
И если на кристалле есть уже прошивка, он ведь всё равно должен пройти инициализации?


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: XILINX 9572 у чайника
СообщениеДобавлено: Пт мар 04, 2016 19:30:15 
Друг Кота
Аватар пользователя

Карма: 46
Рейтинг сообщений: 1368
Зарегистрирован: Пт авг 28, 2009 21:34:30
Сообщений: 7214
Откуда: 845-й км.
Рейтинг сообщения: 3
Медали: 1
Получил миской по аватаре (1)
Думаю, что да. Не знаю как поведет себя если прошивка защищена (никогда на свои не ставил защиту), но, думаю, что jtag цепочку должен показать в любом случае. Уже не помню, какая у меня была самая первая проблема, но помнится, что я тоже долго прыгал вокруг этого программатора, прежде чем всё заработало. Но я начинал с маленького кристалла xc9536 в PLCC44 корпусе.


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: XILINX 9572 у чайника
СообщениеДобавлено: Пт мар 04, 2016 19:39:41 
Друг Кота
Аватар пользователя

Карма: 76
Рейтинг сообщений: 768
Зарегистрирован: Чт мар 07, 2013 20:39:17
Сообщений: 3649
Откуда: Краснодар
Рейтинг сообщения: 0
uldemir писал(а):
Но я начинал с маленького кристалла xc9536 в PLCC44 корпусе.

Вот до него пока руки не дошли :))) тоже есть такой (б/у).
А могут ещё, после заливки прошивки, ноги в камне, при монтаже выжигать?

P.s. погонял программатор отдельно, всё нормально, всё фунциклит :dont_know:


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: XILINX 9572 у чайника
СообщениеДобавлено: Вс мар 06, 2016 05:04:38 
Друг Кота
Аватар пользователя

Карма: 33
Рейтинг сообщений: 50
Зарегистрирован: Вт мар 09, 2010 09:29:27
Сообщений: 6159
Откуда: Свердловская область, г. Ирбит
Рейтинг сообщения: 3
Слушай, вроде настройка порта не ECP должна быть... Я не помню какая именно

_________________
"У принца Лимона всё наоборот: воры и убийцы у него во дворце, а в тюрьме сидят честные граждане" (с) Дж. Родари "Приключения Чипполино"


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: XILINX 9572 у чайника
СообщениеДобавлено: Вс мар 06, 2016 12:24:26 
Друг Кота
Аватар пользователя

Карма: 76
Рейтинг сообщений: 768
Зарегистрирован: Чт мар 07, 2013 20:39:17
Сообщений: 3649
Откуда: Краснодар
Рейтинг сообщения: 0
А где эти настройки меняются, в биосе?

И что можете сказать по поводу китайских программаторов? Как они?

Изображение


Вернуться наверх
 
Не в сети
 Заголовок сообщения: Re: XILINX 9572 у чайника
СообщениеДобавлено: Вс мар 06, 2016 12:57:12 
Друг Кота
Аватар пользователя

Карма: 46
Рейтинг сообщений: 1368
Зарегистрирован: Пт авг 28, 2009 21:34:30
Сообщений: 7214
Откуда: 845-й км.
Рейтинг сообщения: 3
Медали: 1
Получил миской по аватаре (1)
У моего компа - было в БИОСе. Но вот не помню про настройку порта. Когда-то был даташит на зайлинксовом сайте на эту тему.

p.s. На картинке в обучалке написано для EPP порта.

Насчет китайских не знаю. Я приобрёл HS1 от Digilent и счастлив.


Вернуться наверх
 
Показать сообщения за:  Сортировать по:  Вернуться наверх
Начать новую тему Ответить на тему  [ Сообщений: 163 ]  1, , , , ...  

Часовой пояс: UTC + 3 часа


Кто сейчас на форуме

Сейчас этот форум просматривают: нет зарегистрированных пользователей и гости: 6


Вы не можете начинать темы
Вы не можете отвечать на сообщения
Вы не можете редактировать свои сообщения
Вы не можете удалять свои сообщения
Вы не можете добавлять вложения

Найти:
Перейти:  


Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group
Русская поддержка phpBB
Extended by Karma MOD © 2007—2012 m157y
Extended by Topic Tags MOD © 2012 m157y